STC.UNM v. Intel Corporation

Filing 112

DECLARATION re 110 Brief of Brian Ferrall by Intel Corporation (Attachments: # 1 Exhibit 1, # 2 Exhibit 2, # 3 Exhibit 3, # 4 Exhibit 4, # 5 Exhibit 5, # 6 Exhibit 6, # 7 Exhibit 7, # 8 Exhibit 8, # 9 Exhibit 9)(Atkinson, Clifford)

Download PDF
Exhibit 1 111111 United States Patent [19] Brueck et ai. 1111111111111111111111111111111111111111111111111111111111111 US006042998A [11] [45] [54] METHOD AND APPARATUS FOR EXTENDING SPATIAL FREQUENCIES IN PHOTOLITHOGRAPHY IMAGES [75] Inventors: Steven R. J. Brueck; Saleem H. Zaidi, both of Albuquerque, N.Mex. [73] Assignee: The University of New Mexico, Albuquerque, N.Mex. [ *] Notice: [21] Appl. No.: 08/932,428 [22] Filed: [51] [52] Int. CI? ....................................................... G03C 5/00 U.S. CI. .......................... 430/316; 430/312; 430/323; 430/394 Field of Search ..................................... 430/396, 397, 430/313,312,314,316,322,323,394 This patent is subject to a terminal disclaimer. [58] [56] References Cited U.S. PATENT DOCUMENTS 4,859,548 5,116,718 5,216,257 5,343,292 5,415,835 5,486,449 5,705,321 5,759,744 5,790,254 5,801,075 8/1989 5/1992 6/1993 8/1994 5/1995 1/1996 1/1998 6/1998 8/1998 9/1998 Reise et al. ................................. 430/1 Dalton et al. ........................... 430/327 Brueck et al. .......................... 250/548 Brueck et al. .......................... 356/363 Brueck et al. .......................... 430/311 Rosono et al. ......................... 430/328 Brueck et al. .......................... 430/316 Brueck et al. .......................... 430/312 Ausschnitt .............................. 356/372 Gardner et al. ......................... 438/197 OlliER PUBLICATIONS D. H. Ziger and C. A. Mack "Generalized Approach toward Modeling Resist Performance," AIChE Jour. 37, 1863-1874 (1991). 6,042,998 *Mar. 28, 2000 W. D. Hinsberg, S.A. MacDonald, L. A. Pederson, and C. G. Willson "A Lithographic Analog of Color Photography: Self-Aligning Photolithography Using a Resist with Wavelength-Dependent Tone," Jour. Imaging Sci. 33, 129-135 (1989). Primary Examiner-Janet Baxter Assistant Examiner-Jill N. Holloman Attorney, Agent, or Firm-Snell & Wilmer, L.L.P. [57] Sep. 17, 1997 Patent Number: Date of Patent: ABSTRACT The present invention extends the available spatial frequency content of an image through the use of a method and apparatus for combining nonlinear functions of intensity to form three dimensional patterns with spatial frequencies that are not present in either of the individual exposures and that are beyond 2M in all three spatial directions. The resulting pattern has spatial frequency content beyond the limits set by optical propagation of spatial frequencies limited to 2/A (e.g. pitch reduction from -A/2 to at least -A/4). The extension of spatial frequencies preferably extends the use of currently existing photolithography capabilities, thereby resulting in a significant economic impact. Multiplying the spatial frequency of lithographically defined structures suitably allows for substantial improvements in, inter alia, crystal growth, quantum structure growth and fabrication, flux pinning sites for high-Tc superconductors, form birefringent materials, reflective optical coatings, photonic bandgap, electronics, optical/magnetic storage media, arrays of field emitters, DRAM (Dynamic Random Access Memory) capacitors and in other applications requiring large areas of nm-scale features. 32 Claims, 19 Drawing Sheets u.s. Patent ",. Mar. 28,2000 :. ,,"" Sheet 1 of 19 ' . .: 6,042,998 .... ' 15 Dimensions in units of CD 10 .",' D. ,0 ' 5 r'·~tD:'~···:'~?'.~!' ',.•,',~' .•' j'.•'·1···••':::••.•..:: ',P:'• U LC,~',~,,: . , . J. . ..... '." I·: '. -.: ". . o o \ . . .... ......... .. ' 'L: '\~' 'L ,> '.';; ':::< 5 10 Rectangle is 6x6 unit cell FIG. I 15 u.s. Patent ": .: " . ,", . ".: :', ...... . ': ' " ····0·· .. .... ':" . :" .,' . ' l '" ' ....... ..... ". . .' .. 6,042,998 Sheet 2 of 19 Mar. 28,2000 ' ..... :..: . .:. .... . ". . ",', ...... ..... '.' .....:. .. : ..... .,. ' .. :.' " " .. ,,' .' . ,'", " ',.' ...... . " :. ," " " . . :'. .... ,,' . ... . '. .. '. . .....: ..... ", . . .:" " ,: .,,'. '. ~.' " ~ '" ~ " .. .. ,' " , ' ,. ," " .." " ...: . :. ,',.:.. ....:. ..... .... .:.:. " '.... " ......... ': .: .. .. .... . .... ': ..:.. :. :".". .... ...: .. ,. :,' ,':.. .... :. .. ", . .. . ..,,':: 0:,' Eo ;', :. .: ..... '. ...... . ..... : . .... ,'" .: .. ... ... : .. ..... .... . ". .' .. ". .... ," . ... ,' , ~ "," ', "': .. :' " ,. .; ',' . ~ . .,'. . ... ~ '. Q:.;.': :><.::'. :~:.: '.; . . ·i5..::'::· .: '.':;..,. ' .";': .: ':." .. .... : FIG. 2A ' " .... "t •• ',' I, ' ' ./ .' ,'," FIG. 28 ' :.: " u.s. Patent " : , " .. " '. ..: : .. . '. ", " " I '" " '. • " ". , " " : '. " .: : . 6,042,998 Sheet 3 of 19 Mar. 28,2000 ,', , .' ,. ,', ' '. " " ' " J , ," " : " " I, .: '. '. '" . ,. " '. 010 " .. r ' " - .." ." " ' " .' " " " ," '.' ... ~ .: " ~ .' .' '. " " , ,', : " '. . : .. . " , ' " " " . : . .: I:. : " : " : ( .. "/ " • " " . '. ", , '. . .-, " : .. ,', . ; " '. • '. .. '. .... "'. " " :' ~, " FIG. 3 '. .' ., ~, .... u.s. Patent Mar. 28,2000 Sheet 4 of 19 6,042,998 (I) (I) Q) c: ~ c: 1 .r: l+' .!Q (I) ~ 0 0 +' .r: a. "'0 Q) a. 0 Q) > Q) c 00 1 E(fluence) FIG. 4 1. n=5 2. n=10 3. limit n-infinity u.s. Patent Mar. 28,2000 6,042,998 Sheet 5 of 19 -3p -2p -p 0 P 2p 3p 0 P 2p 3p FIG. 5A - -3p - 2p -p FIG. 58 . - u.s. Patent Mar. 28,2000 Sheet 6 of 19 FIG. 5C 6,042,998 u.s. Patent Mar. 28,2000 6,042,998 Sheet 7 of 19 '::. '. .:>. . . . : :....... ":. :" . '" • '.:.,':'•• :• • • • • • :••••• : " FIG. 6A : • .", ' .. :' :.. .'" .. :1 ...: .. :. :.: ..... " . . ::.,' ....... ....... .. ' !. f .:,., , • '.' ' FIG. 68 • ':" ... ',:',: ''\0.' ,- u.s. Patent Mar. 28,2000 Sheet 8 of 19 FIG. 7A 6,042,998 u.s. Patent Mar. 28,2000 Sheet 9 of 19 6,042,998 u.s. Patent Mar. 28,2000 ..... ..,. " ..... ,;,', . .. :, '" .,' ,': ' .. :' ',:: . :: :.-, .. D':"'>""~:':":':"'::O':': : " " :,,:,', .. '. , ,,',. " '.:' . .', ~.:' ', ,,',' ,.: .:; . '. .... -'. " . ',: ,'". . " ..... ',', . .~'.,...>;;,·':'<5~;';:.i:<=>." .. . :.: .:.-:... ',' " ';' . ...... :0. .. ,' : '. .', ".:' 6,042,998 Sheet 10 of 19 ,'\ ' .. .. . ...... , .... ' .... : :',: . :. .... .' ....:. ,--,:. .'. . . ;', FIG. 8A FIG. 88 ~ . ~:,':,O::;;~c;::::~'::O;'~i:~~ ,';., :'.' :' ',' :" .' .:' .. ' .. ' t.:. ~ .. :'." FIG. 8e '. ' .. :>:.:....(':.: u.s. Patent Negative Tone Subtractive ~:: 48 48 40 ~rt::;:r:;::r:;:::r::;:::+ ~6 44 FIG. 98 42 ~ FIG. 9C 40 ,,-J Processing FIG. 9A 6,042,998 Sheet 11 of 19 Mar. 28,2000 P 44 ~ ~42 50 50 FIG. 90 44 FIG. 9E 42 u.s. Patent 6,042,998 Sheet 12 of 19 Mar. 28,2000 Positive Tone Additive/Subtractive Processing 60 ,J ~:: FIG. lOA 6 66 FIG. lOB 68 FIG. 10C 68 ~:; 72 FIG. 100 64 62 72 FIG. 10E FIG. 10F 64 62 u.s. Patent Mar. 28,2000 Sheet 13 of 19 F(G~ IIA FIG~ liB 6,042,998 u.s. Patent Mar. 28,2000 FIG. Sheet 14 of 19 ~ ·1. i . . '. ,···.C. 6,042,998 u.s. Patent Mar. 28,2000 Sheet 15 of 19 FIG. 12 6,042,998 u.s. Patent Mar. 28,2000 Sheet 16 of 19 FIGa 13A FIGt 138 6,042,998 u.s. Patent Mar. 28,2000 Sheet 17 of 19 6,042,998 u.s. Patent Mar. 28,2000 Sheet 18 of 19 'F .G"~ , . ·····I ...... ... ' ' 'I'. 5··.... . 6,042,998 u.s. Patent Mar. 28,2000 Sheet 19 of 19 6,042,998 6,042,998 1 2 METHOD AND APPARATUS FOR EXTENDING SPATIAL FREQUENCIES IN PHOTOLITHOGRAPHY IMAGES content of an image, and more particularly, to a method and apparatus for combining nonlinear functions of intensity of multiple images to form three dimensional patterns with spatial frequencies that are not present in any of the individual exposures and whose magnitudes are larger than 2A, the limit of linear optical system response, in all three spatial directions. RELATED APPLICATIONS 5 The following patents and patent applications are herein incorporated by reference: U.S. Pat. No. 5,216,257-S. R. J. Brueck and Saleem H. Zaidi, Method and Apparatus for Alignment and Overlay of Submicron Lithographic Features (issued Jun. 1, 1993); U.S. Pat. No. 5,343,292-S. R. J. Brueck and Saleem H. Zaidi, Method and Apparatus for 10 Alignment of Submicron Lithographic Structures (issued Aug. 30, 1994); U.S. Pat. No. 5,415,835-S. R. J. Brueck and Saleem Zaidi, Method and Apparatus for Fine-Line Interferometric Lithography (issued May 16, 1995); U.S. Pat. No. 5,759,744-S. R. J. Brueck, Xiaolan Chen, DaniellS J. Devine and Saleem H. Zaidi, Methods and Apparatuses for Lithography of sparse Arrays of sub-micrometer Features (issued Jun. 2, 1998); U.S. patent application Ser. No. 08/614,991-S. R. J. Brueck, Xiaolan Chen, Daniel J. Devine and Saleem H. Zaidi, Methods and Apparatuses for 20 Lithography of sparse Arrays of Sub-micrometer Features (a continuing, applicator was filed on Jul. 15, 1998 now U.S. Pat. No. 5,674,652); U.S. patent application Ser. No. 07/662, 676-K. P. Bishop, S. R. J. Brueck, S. M. Gaspar, K. C. Hickman, J. R. McNeil, S. S. Naqvi, B. L. Stallard and G. 25 D. Tipton, Use of Diffracted Light From Latent Images in Photoresist for Exposure Control (filed Feb. 26, 1991); U.S. Pat. No. 5,705,321-S. R. J. Brueck, An-Shiang Chu, Saleem Zaidi, and Bruce L. Draper, Method for Manufacture of Quantum Sized Periodic Structures in Si Materials (issued 30 Jan. 6, 1998); U.S. patent application Ser. No. 081786,066, now abandoned,-S. R. J. Brueck, Xiaolan Chen, Andrew Frauenglass and Saleem Hussain Zaidi, Method and Apparatus for Integrating Optical and Interferometric Lithography to Produce Complex Patterns (filed Jan. 21, 1997); 35 Semiconductor Industry Association, National Technology Roadmap for Semiconductors (1994); J. W. Goodman,Introduction to Fourier Optics, 2nd Ed., (McGraw Hill, New York, 1996); J. W. Goodman, Statistical Optics, (John Wiley, New York, 1985); Xiaolan Chen, S. H. Zaidi, S. R. J. Brueck 40 and D. J. Devine, "Interferometric Lithography of Submicrometer Sparse Hole Arrays for Field-emission Display Applications," Jour. Vac. Sci. Tech. B14, 3339-3349 (1996); S. H. Zaidi and S. R. J. Brueck, "Multiple-exposure interferometric lithography," Jour. Vac. Sci. Tech. Bll, 658 45 (1992); R. Ziger and C. A. Mack "Generalized Approach toward Modeling Resist Performance," AIChE Jour. 37, 1863-1874 (1991); Introduction to Microlithography, Second Edition, L. F. Thompson, C. Grant Willson and M. J. Bowden, eds. (American Chemical Society, Washington 50 D.C., 1994) and, W. D. Hinsberg, S. A. McDonald, L. A. Pederson and C. G. Willson, "A Lithographic Analog of Color Photography: Self-Aligning Photolithography using a Resist with Wavelength-Dependent Tone," Jour. Imaging Sci. 33, 129-133 (1989). 55 FEDERALLY-SPONSORED RESEARCH OR DEVELOPMENT The United States Government has a paid-up license in this invention and the right in limited circumstances to require the patent owner to license others on reasonable terms as provided by the terms of Grant No. N66001-96C-8617 awarded by the United States Department of the Navy. FIELD OF THE INVENTION The present invention is related, generally, to a method and apparatus for extending the available spatial frequency 60 65 BACKGROUND OF THE INVENTION The quality of an image is limited by the spatial frequencies within the image. In general, the maximum spatial frequency contained in an optically defined image is -2NNA where NAis the lens numerical aperture (the radius of the lens aperture divided by the distance from the exit face of the lens to the focal plane) and A is the optical wavelength. Thus, decreasing A and increasing NA typically results in increased spatial frequency content and in an improved, higher resolution image. The convention adopted throughout this disclosure is that spatial frequencies are given as the inverse of the corresponding length scale in the image. Therefore, a factor of 2Jt is necessary to convert these spatial frequencies to the magnitude of wavevectors for detailed modeling. Hereinafter, "pitch," with dimensions of nm, is used to refer to the distance between features of a periodic pattern while "period," with dimensions of nm- 1, is used interchangeably with spatial frequency. Historically, the semiconductor industry has worked to both decrease A and increase NA in its steady progress towards smaller feature sizes. There are several factors that together suggest that continued improvements to A and NA are most likely not feasible and the industry will have to undergo a significant change in lithographic technique. Problems typically include the reduction of the feature size to below the available optical wavelengths, often decreasing the manufacturing process window, while at the same time demanding increased linewidth control for high-speed circuit operation. Moreover, for wavelengths below the 193nm ArF wavelength, transmitting optical materials are typically no longer available, forcing the need for an allreflective system. However, an all-reflective system is often problematical since current multi-layer reflector and aspheric optical technologies are typically not sufficiently developed to meet the feature size needs. The transition to reflective optics will most likely result in a significant reduction in the possible NAs, thereby reducing the benefit of shorter wavelengths. Optical sources with wavelengths shorter than 193 nm may also not provide sufficient average power for high throughput manufacturing. Furthermore, the complexity of the masks typically increases by a factor of about four for each ultra-large scale integration (ULSI) generation (i.e. about four times as many transistors on a die). Additionally, many of the potential advances in optical lithography, often collectively know as resolution-enhancement techniques, typically lead to increased mask complexity (serifs, helper bars, and other sub-resolution features) or require a three dimensional mask in place of the traditional chrome-on-glass two-dimensional masks (phase shift techniques). The increased complexities often increase the manufacturing difficulties and costs, thereby commonly reducing the yield of the complex masks. Moreover, the transition to wavelengths shorter than 193 nm will most likely require a drastic changeover to reflective masks since transmissive optical materials with adequate optical quality are typically not available. The limiting CD (critical dimension) of imaging optical systems is usually stated as K1A/NA, where Kl is a function 6,042,998 3 4 of manufacturing tolerances as well as of the optical system, perature superconductors are often limited by the motion of Ie is the center wavelength of the exposure system and NA flux lines that induces loss and heating resulting in a phase is the numerical aperture of the imaging optical system. transition to a non-superconducting state. The critical curTypical values of Kl range from about 1.0 down to -0.5. rent density is the current density at which this transition Projections for the 193 nm optical lithography tool are an 5 occurs. An improvement potentially could be achieved by a NAofO.6 which leads to a limiting CD of -0.16 micrometer. fabrication technique that provides a predetermined density Alternative lithographic technologies are being investigated and spatial pattern of flux pinning sites by inducing localized including, inter alia, X-ray, e-beam, ion-beam and probe-tip defects in the film to trap the flux lines. In order to achieve technologies. However, none of these technologies has as the desired critical currents, the density of trap sites typically yet emerged as a satisfactory alternative to opticallithogra10 needs to be on the nm-scale (-5-50 nm spacings). phy for volume manufacturing applications. In the area of periodic structures, such as gratings, which Existing nanofabrication techniques, such as e-beam play a very important role in optics, periodicities shorter lithography, have been used to demonstrate nm-scale feathan the optical wavelength could give rise to significant tures for a variety of applications including, inter alia, modifications in both the linear and nonlinear optical textured substrates for crystal growth, quantum structure growth and fabrication, flux pinning sites for high-Tc 15 response of materials. For example, one-dimensional gratings with pitches much less than the wavelength can result superconductors, form birefringent materials, reflective optiin a birefringent response such that the reflectivity and cal coatings, artificially created photonic bandgap materials, electronics, optical/magnetic storage media, arrays of field transmission differs between light polarized along the gratemitters, DRAM (Dynamic Random Access Memory) ing and light polarized perpendicular to the grating. capacitors and in other applications requiring large areas of 20 Reflective optical coatings, known as Bragg reflectors, nm-scale features. However, these existing nanofabrication often consist of layered stacks of different materials with techniques typically remain uneconomic in that the techeach layer having a Y4-wave optical thickness. Very high niques do not allow low cost manufacturing of large areas of reflectivities can be achieved, even with relatively small nm-scale patterns. refractive index differences between the materials by using In the field of textured substrates for crystal growth, 25 a sufficient number of layers. The extension of this concept researchers have investigated vicinal growth (epitaxial to a periodic three dimensional optical structure is usually growth on a crystal substrate polished several degrees offknown as a photonic crystal. In the same way as semiconaxis to expose steps in the crystal faces) to provide seeding ductor crystals have forbidden energy gaps within which sites for growth initiation. This approach is often problematic in that the crystal steps are not well-defined and the 30 there are no allowed electronic states, photonic crystals can exhibit photonic bandgaps where specific wavelength bands variations lead to inhomongeneous nucleation. Moreover, in of light cannot penetrate. The ability to incorporate defects prior art epitaxial growth, the strain often limits the thickin this structure can give rise to important classes of optical ness of the film before dislocations and other defects are emitters with unique properties such as thresholdless lasers. formed to relieve the stress. This new class of materials could most likely be applied to The field of quantum structure growth and fabrication is 35 a wide range of applications. often similar to the above crystal growth application usually In the electronic field, semiconductor electronics have with the exception that the growth would involve at least two typically been following an exponential growth in the nummaterials: a lower bandgap material typically surrounded by ber of transistors on a chip, increasing by a factor of four a higher bandgap material to provide a quantum wire or a quantum dot. Most of the current work on extremely thin 40 each generation (with a typical 3-year duration for each generation). As discussed above, conventional optical heterostructure materials is typically concentrated on quanlithography is reaching practical limits set by available Ie and tum wells, 2-D planar films with thickness on the order of NA, therefore, an advancement in lithographic techniques electronic wavefunctions (-0.1-50 nm) sandwiched will be needed to manufacture these circuits. in-between larger bandgap materials that form a potential In the field of storage media, both magnetic and optical barrier to confine charge carriers. These quantum well 45 storage densities (bits/cm 2 ) typically have been increasing materials have progressed from scientific study to important dramatically. The increased storage densities are typically a applications in high speed transistors and in optoelectronic result of improvements to magnetic/optical read/write heads devices such as lasers and detectors. Attempts at further and to the storage media. However, traditional continuous reducing dimensionality from 2-D sheets to 1-D wires and O-D boxes are often classified into three major directions: (1) 50 media often allows domains to compete and grow at the expense of other domains to find the most energetically lithographic definition limited to -100 nm by current techfavorable configuration. Moreover, as densities continue to niques (primarily electron-beam lithography) and not presincrease, it becomes increasingly difficult for the tracking ently scalable to large areas; (2) orientationally selective electronics to resolve smaller distances. A cost-effective growth on wafers with large-area (urn-scale) patterns which typically has significant problems with defects associated 55 lithographic patterning technology that allows nano-scale segmentation of the storage medium potentially could with the imprecise fabrication and the three orders-ofaddress both of these issues. magnitude scale reduction required, from -1000 nm to -1 nm; and (3) self-assembled quantum dots usually based on Field-emitters are potentially a promising technology for modifying the growth conditions to achieve nucleation of cold cathode electronic devices, such as, for example, isolated dots of material. The size and placement uniformity 60 mm-wave tubes and displays. These devices rely on high of the dots produced by this technique is often limited by the electric field extraction of electrons from extremely small unavoidable randomness of the nucleation and growth proemission areas. In the prior art, field emitter tips are typically cesses. The development of a method for uniformly defining formed by conventional lithographic definition and processnucleation sites by a lithographic process would have a ing "tricks" such as shadow evaporation or threemajor impact on this field. 65 dimensional oxidation of Si to form the nanostructures. In the field of flux pinning sites for high- Tc However, the feature and current densities resulting from the superconductors, allowable current densities in high temprior art lower resolution lithographic techniques is not 6,042,998 5 6 typically sufficient for many applications. A higher NA-0.3 ,urn, interferometric lithography has a limiting resolution of -0.09 ,urn at the same wavelength. Using the 193 resolution, nano-scale lithographic technique would have a significant impact on the development of these technologies. wavelength, the limiting resolution of interferometric lithography is -0.05 ,urn which is already better than the current In the area of DRAMs, noise considerations in readout circuitry typically require a substantially fixed capacitance 5 projections for EUV lithography (a wavelength of 13 nm and a NA of 0.1 leading to a CD of 0.08 ,urn at a Kl of 0.6). for DRAM circuits independent of the total number of One of the major challenges for interferometric lithogramemory cells. Since the two-dimensional footprint available for the capacitor decreases by a factor of approximately two phy is developing sufficient pattern flexibility to produce each DRAM generation (e.g., the 256-Mbit generation usuuseful circuit patterns. A two-beam interferometric exposure ally is scaled for a smallest printed feature (or critical 10 produces a periodic pattern of lines and spaces over the dimension (CD)) of 0.25 ,urn and this scaling is reduced to entire field. Multiple beam (4 or 5) exposures typically produce relatively simple repeating two-dimensional pata CD of 0.18 ,urn for the 1-Gbit generation; [(0.18/0.25) 2_0.5]), simple scaling would result in an approximate factor terns such as holes or posts. More complex structures can of two reduction in the capacitance each generation. One often be formed by using multiple interferometric exposures possible approach to maintaining the needed minimum 15 as described in U.S. Pat. No. 5,415,835-S. R. J. Brueck and Saleem H. Zaidi, Method and Apparatus for Fine-Line capacitance is to use the third dimension by convoluting a thick capacitor structure to increase the surface area within Interferometric Lithography (filed Sep. 16, 1992; issued May 16, 1995) and in Jour. Vac. Sci. Tech. Bll, 658 (1992), the same two-dimensional footprint. This requires a lithowhich are herein incorporated by reference. Additional flexgraphic capability beyond that required to define the circuit. However, since the industry is typically at the limits of its 20 ibility can often be attained by combining interferometric current lithographic capability in fabricating the circuit and optical lithography as also described in the above patent. patterns, an improved nano-scale lithographic process is However, thus far, demonstrations have typically been limrequired to meet these needs. Random process such as the ited to fairly simple examples, e.g. defining an array of lines deposition of nano-grain particles as etch masks have been by interferometric lithography and delimiting the field by a demonstrated. However, the control of particle size and 25 second optical exposure. Even with multiple exposures, placement is typically inadequate for a high-yield manufacmore complex structures are often produced, but the overall turing process. Here again, development of controlled nanopatterns are restricted to repetitive structures. scale lithography process would potentially have a signifiImaging interferometric lithography (IlL) has recently cant impact. been developed [See U.S. patent application Ser. No. Interferometric lithography, the use of the standing wave 30 08/786,066-S. R. J. Brueck, Xiaolan Chen, Andrew Frauenglass and Saleem Hussain Zaidi, Method and Appapattern produced by two or more coherent optical beams to expose a photoresist layer, often provides a very simple ratus for Integrating Optical and Interferometric Lithogratechnique to produce the requisite scale for the next several phy to Produce Complex Patterns (filed Jan. 21, 1997)] as an ULSI generations. Compared to the aforementioned probapproach to extending the spatial frequency space available lems with lithographic and non-lithographic techniques, 35 for imaging, and hence allowing higher resolution images of interferometric lithography typically provides a simple, arbitrary patterns than are usually possible with conveninexpensive technique for defining extreme submicron array tional optical imaging approaches. IlL is based on a linear patterns over a large area without the need for a photomask. systems approach wherein the spatial frequency space limiInterference effects between two coherent laser beams often tation of a traditional optical system is circumvented by have been used to create simple grating patterns in a 40 combining optical and interferometric lithographies to print photoresist. Furthermore, interference lithography typically regions of frequency space. Multiple exposures in the same has a very large depth of field, so patterns can be exposed photoresist level are then typically used to add together the different spatial frequency components to produce a final over large variations in topography. Moreover, interferometimage that is significantly improved over that available with ric lithography often allows very high resolution patterns to be defined on a wafer, substantially finer than those available 45 traditional, single-exposure imaging optical lithography. Using this approach, it was shown that images containing from conventional lithographic techniques, with a throughspatial frequency components out to the limits of optics, 2A, put often comparable to that of a conventional optical stepper. Therefore, a large number of structures applicable to could be achieved. microelectronic devices and circuits can be fabricated using As an example of the increased spatial frequency space interferometric lithography, either alone or in combination 50 available using IlL, FIG. 1 shows a prototypical array with other lithographic techniques such as optical steppers. structure that might be part of a ultra-large-scale integrated See U.S. Pat. No. 5,415,835-S. R. J. Brueck and Saleem circuit, particularly a circuit with a large degree of repetiZaidi, Method and Apparatus for Fine-Line Interferometric tiveness such as a memory chip or a programmable logic Lithography (issued May 16, 1995); U.S. patent application array. The dimensional units are in terms of the critical Ser. No. 08/407,067-S. R. J. Brueck, Xiaolan Chen, Daniel 55 dimension (CD-smallest resolved image dimension) which J. Devine and Saleem H. Zaidi, Methods and Apparatuses is defined in the semiconductor industry roadmap. The for Lithography of sparse Arrays of Sub-micrometer Feaindustry goals for the CDs are 130 nm in 2003 and 100 nm tures (CIP filed Mar. 13, 1995); U.S. patent application Ser. in 2006. For easy comparison, the modeling examples given No. 08/614,991-S. R. J. Brueck, Xiaolan Chen, Daniel J. herein are all for the 130-nm CD generation. The pattern Devine and Saleem H. Zaidi, Methods and Apparatuses for 60 consists of staggered bars each 1x2 CD2. The repetitive cell Lithography of sparse Arrays of sub-micrometer Features is demarked by the dotted lines and is 6x6 CD2. For a (divisional filed Mar. 13, 1996) and, which are herein periodic pattern, all of the spatial frequency components are incorporated by reference. harmonics of the fundamental frequencies of this pattern, The limiting spatial frequency of interferometric lithoge.g. fx=n/Lx; fy=m/Ly, where fx(fy) are the spatial frequencies raphy is -Al2, where A is the laser wavelength, and the CD 65 in the x (y) direction, n (m) is an integer and Lx=Ly=6 CD is the repeat distance in each direction. This pattern is only for 1: 1 lines and spaces is -A/4. In contrast to optical introduced to illustrate the general concepts of the invention lithography which at I-line has a projected limit of KxA/ 6,042,998 7 8 and is not intended to restrict its applicability to only this or for I-line wavelengths, n-5-1O. FIG. 4 shows a plot of teE) substantially similar patterns. vs. E showing the strong nonlinearity often associated with The goal of the lithography process is typically to reprothe photoresist process. In order to make the mathematics duce this pattern in the developed resist profile with as high simpler, the modeling presented herein uses a simple thresha fidelity as possible. FIGS. 2A and 2B show the exemplary 5 olding step function approximation to 'teE) shown, for pattern achieved when a mask with the required pattern is example, by the dotted line in FIG. 4. This approximation used in a conventional imaging optical lithography system in substantially retains the essential features of the photoresist the limits of both incoherent (FIG. 2A) and coherent (FIG. response without introducing unnecessary computational 2B) illumination. For incoherent illumination the resultant complexity into the modeling. A more complete modeling pattern is shortened and significantly rounded appearing almost circular rather than rectangular; for coherent illumi- 10 effort can be created by one of ordinary skill in the art. For a simple two-beam interference, the fluence profile is nation only the zero-frequency Fourier component (constant given by the expression: intensity across the die) is transmitted by the lens for this particular pattern, wavelength and NA combination and there is substantially no image at all. State-of-the-art lithog- 15 E(x) = 1 + cos(4nsin(8)x / A). (2) raphy tools often use partially coherent illumination which is in some ways better than either of these two limits; but still shows many of the same limitations. Optics can, in principle, The Fourier transform consists of three components, a unity support spatial frequencies up to a maximum spatial freamplitude, zero frequency term and two components with quency of 2/A. Various techniques, including multiple inter- 20 amplitude Y2 at ±2 sin (B)/A[F(E)=o(Q+Y2(o(f +2 sin (B)/ x ferometric exposures, can almost eliminate the lens limitaA)+o(fx-2 sin (B)/A))], where F represents the Fouriertions on spatial frequencies and approach the fundamental transform operator and fx is the spatial frequency. After limit of a linear optical system. passing this function through the nonlinear filter of the FIG. 3 shows the modeling results for imaging the pattern photoresist, represented by 'teE), the resulting thickness of of FIG. 1 including all of the spatial frequencies available at 25 the photoresist is typically a substantially rectangular funcan imaging wavelength of 365 nm (I-line). While the image tion and the Fourier transform is typically a substantially is significantly closer to the desired pattern than the incosinc (sin (x)/x) function sampled at harmonics of the pitch, herent imaging results, there is still significant rounding of fn=2n sin (B)/A: the corners of the printed features due to the unavailability of the spatial frequencies needed to provide sharp corners. 30 (3) . (2nna sin( 8) ) That is, the magnitudes of the spatial frequencies necessary Slll--_'--------'-A'--------'-ei4Jfnx~i~e) to define these corners are greater than 2/A, the limit of a r[E(x)] = ~L 2nnasin(8) linear optical system. One approach to improving upon this A problem is typically to decrease the wavelength, thereby increasing the maximum available spatial frequency. 35 Decreasing the wavelength has often been a traditional Examples of these one-dimensional real space and spatial industry solution to the need for defining smaller and smaller frequency space results are shown in FIGS. 5A and 5B features. However, for the reasons cited above, it is likely respectively. that this solution cannot be exploited much beyond the FIG. 5C shows an experimental realization of this sharp193-nm ArF excimer laser source wavelength. 40 ening in the z-direction. This result was obtained using two The use of the nonlinear response of photoresist to coherent beams from an Ar-ion laser (A=364 nm) incident on substantially sharpen developed photoresist patterns in the a photoresist-coated wafer at angles ±B of approximately 30° z-direction, through the thickness of the resist, has long been corresponding to a pitch of about 360 nm. A standard I-line understood [see, for example, Introduction to Microlithography, Second Edition, L. F. Thompson, C. G. 45 photoresist was used with a thickness of about 0.5 ,um. An antireflective coating (ARC) layer was included under the Willson and M. J. Bowden, eds. (Arner. Chem. Soc. Washphotoresist to eliminate the standing wave effects that often ington D.C., 1994, pp. 174-180)]. To aid in understanding occur as a result of the substantial reflectivity at the this process, many approaches exist for modeling the phophotoresist/Si interface. The developed photoresist features toresist response. Industry-standard modeling codes, such as PROLITHTM and SAMPLE, typically take into account the 50 exhibit substantially vertical sidewalls. The Fourier transform of this pattern contains high spatial frequency compomany subtle effects that are often necessary to accurately nents that go well beyond the 2/A linear systems limit of model the lithography process. However, for the present optics as is illustrated in FIG. 5B. purposes, a simpler model, first presented by R. Ziger and C. While the nonlinearity often substantially sharpens the A. Mack [Generalized Approach toward Modeling Resist Performance, AIChE Jour. 37, 1863-1874 (1991)], typically 55 profile in the z-direction, it does not, however, usually add provides a good approximation. This model describes the additional frequency components in the x-y plane. In fact, the profiles of FIGS. 2 and 3 were calculated using this same photoresist thickness, teE), after the photoresist develop step photoresist filter, thus demonstrating the lack of frequency substantially resulting from a given optical exposure fluence components in the x-y plane. Moreover, multiple exposures (typically normalized to a clearing fluence) E by the rela60 in the same level of photoresist without any additional tionship: processing result in summing the amplitudes and phases of the spatial frequency components contained within each (1) -E)n 1 t(E)=1- ~ exposure. Consequently, applying and developing the pho( 1-e- 1 toresist after this summation again usually sharpens the 65 photoresist vertical profiles but does not often substantially change the 2-D cross section at the threshold level. where n is a parameter that characterizes the contrast of the Mathematically, this is represented as: resist. For typical novo lac-based photoresist commonly used 6,042,998 9 10 (4) 5 where T(x,y) is the photoresist thickness as a function of the wafer plane Cartesian coordinates x and y and En(x,y) is the fluence of the nth exposure at the position (x,y). A simple two exposure situation involving only two beam exposures can serve as a typical example of the prior art. The first exposure writes a periodic pattern in the x-direction as in Eq. 4, and the second exposure writes a periodic pattern at 'h the x-pitch in the y-direction. FIG. 6Ashows the results of a simple double exposure, as taught in U.S. Pat. No. 5,415,835-S. R. 1. Brueck and Saleem Zaidi, Method and Apparatus for Fine-Line Interferometric Lithography (issued May 16, 1995) which is herein incorporated by reference. The parameters of the calculation are set for a CD of about 130 nm and a small pitch of about 260 nm. Because the intensities are added before the thresholding operation is applied, the resulting shapes exhibit significant rounding of the comers and are substantially elliptical rather than rectangular. 10 15 20 SUMMARY OF THE INVENTION The present invention extends the available spatial frequency content of an image through the use of a method and apparatus for combining nonlinear functions of intensity of at least two individual exposures to form three dimensional patterns with spatial frequencies that are not present in any of the individual exposures and that extend beyond the limits set by optical propagation of spatial frequencies whose magnitudes are ~ 2(A in all three spatial directions. This extension of spatial frequencies preferably extends the use of currently existing photolithography capabilities, thereby resulting in a significant economic impact. Extending the spatial frequency range of lithographically defined structures suitably allows for substantial improvements in, inter alia, crystal growth, quantum structure growth and fabrication, flux pinning sites for high-Tc superconductors, form birefringent materials, reflective optical coatings, photonic crystals, electronics, optical/magnetic storage media, arrays of field emitters, DRAM (Dynamic Random Access Memory) capacitors and in any other applications requiring large areas of nm-scale features. A first exemplary embodiment uses two photoresist layers sensitive at different wavelengths. Additional layers are often required in a multi-level photoresist process to protect against interdiffusion of the various photosensitive materials. Alternatively, a hard mask (e.g. Si0 2 or Si3N4 or any other suitable film material) is used with additional processing between exposures. In either case, a first lithographic pattern at a first wavelength regime is suitably exposed into the first photosensitive layer and a second lithographic pattern in a second wavelength regime is suitably exposed into the second photosensitive layer. Upon suitable development and/or processing the result is a layering of the two lithographic patterns in the two layers and/or in the hard mask layer. These layers in combination are used as masks for further processing of the underlying wafer to transfer a pattern that is the product of the two masks into the underlying materials. Image reversal offers the possibility of combining the two exposures in the same level of photoresist with intermediate processing steps to assure independent thresholding nonlinearities. A second exemplary embodiment of combining nonlinear processes preferably includes the following steps: 1) deposit 25 a suitable hard mask material and a photoresist layer onto the film stack to be patterned; 2) suitably expose and develop a periodic pattern (at pitch Pmin~A/2 and with CD ~A!8) in the photoresist using interferometric lithography; 3) transfer this pattern into the hardmask by etching; 4) suitably remove the remaining photoresist; and 5) repeat the above steps at the same pitch, but with the pattern offset by Pmin/2 to interpolate new features midway between the previously defined features in the hardmask. This procedure typically results in a pattern with 'h the pitch of the original structure. Alternatively, this procedure may be repeated a number of times, with appropriate offsets and CDs to produce a pitch Pmin/N, where N=1 (original pattern), N=2 (one additional exposure and processing sequence), N=3 (two additional exposures and processing sequences), and so on. Structures with linewidths as much as a factor of 40 less than the pitch for larger pitches (0.05-,um wide line on a 2,um pitch) have been suitably produced [see, X. Chen et aI., SPIE 1997]. In an alternative embodiment, this technique can be extended to two-dimensional patterning by using either multiple exposures and/or multiple-beam single exposures. For a grid of holes or posts with equal pitches, Pl' in both the x- and y-directions, a second exposure at the same pitch but shifted by Pl/2 in x and Pl/2 in y decreases the pitch (now P2) to approximately P2=P1N2. With two further exposures a new pitch (now P3) of approximately P3=Pl/2 is achieved. BRIEF DESCRIPTION OF THE DRAWINGS FIGURES 30 35 40 45 50 55 60 65 The subject invention will be hereinafter described in conjunction with the appended drawing figures, wherein like numerals denote like elements, and: FIG. 1 shows a prototypical array structure (that could be part of a memory chip or programmable logic array) having a grid in units of critical dimensions (CD) which vary for each generation and a repeating cell; FIGS. 2A and 2B show exemplary modeling results for the two limits of incoherent (FIG. 2A) and coherent (FIG. 2B) illumination using an optical system consisting of an industry-standard I-line (365 nm) lithography tool with a 0.5 numerical aperture (NA) lens to print the pattern of FIG. 1 for a 130-nm CD; FIG.3 shows modeling results (I-line wavelength and 130 nm CD) using all of the spatial frequencies available to a linear optical system (to a magnitude of 2/A); FIG. 4 shows an exemplary graph of nonlinear response of the photoresist thickness on exposure fluence with two approximations 1) the model of Ziger and Mack, teE) with n=5 and with n=lO, typical of the range of commercially available novolac resists at I-line; and 2) a simplified step function model 'teE); FIGS. 5A and 5B show real space (FIG. 5A) and spatial frequency space (FIG. 5B) patterns for a simple two-beam interference for the aerial image and the resulting photoresist profile after the nonlinear thresholding response of the photoresist; FIG. 5C shows an exemplary cross section scanning electron micrograph of a line:space pattern resulting from developing a two beam interference exposure that illustrates the nonlinear response of the photoresist. FIG. 6A shows model results for the photoresist pattern created by two two-beam interferometric lithography exposures oriented at right angles to each other with the x-direction pitch 'h of that of the y-direction created by the prior art process where the two exposures are summed in a 6,042,998 11 12 single layer of photoresist which is subsequently developed, providing a thresholding nonlinearity that sharpens the resist sidewalls but does not modify any additional spatial frequencies in the x-y plane; FIG. 6B shows model results for the photoresist pattern created by two interferometric lithography exposures with the x-direction pitch 'h of that of the y-direction created by applying thresholding nonlinearities to each exposure individually and multiplying the thresholded images to get the final image; FIG. 7A-7B shows an experimental demonstration of the multiplication of two masks corresponding to two thresholded images. FIG. 7A shows an exemplary result of a two-beam interferometric exposure (line:space pattern) that has been transferred to a sacrificial Si3N4 layer by etching after exposure and development of the first exposure. FIG. 7B shows an exemplary result after depositing a second photoresist layer, exposing this second layer with a second interferometric exposure substantially at right angles to the first exposure, and developing the second photoresist layer. FIGS. SA-SC show the application of the present invention to the prototypical pattern of FIG. 1 wherein FIG. SA shows the result of a simple two-beam interferometric exposure, FIG. SB shows the result of an incoherently illuminated imaging optical exposure (NA=0.6@365 nm) and FIG. SC shows the result of multiplying the two images using a combined mask. FIGS. 9A-9E show a preferred embodiment of a process, using a negative photoresist, that results in a factor of two reduction in the pitch in accordance with the present invention; FIGS. 10A-10F show a preferred embodiment of a process, using a positive photoresist, that results in a factor of two reduction in the pitch in accordance with the present invention; FIG. HAshows an exemplary SEM after the first etch, as demonstrated in FIG. 9C, with a pitch of approximately 260 nm in accordance with the present invention. FIG. HB shows exemplary results after the second etch, as demonstrated in FIG. 9F, with the pitch suitably reduced to -130 nm and the CD to -60 nm in accordance with the present invention; FIG. HC shows exemplary results of an anisotropic KOH etch of O.13-mm pitch pattern into the Si using the nitride layer as a hard mask in accordance with the present invention; FIG. HD shows an exemplary narrow line having superior vertical sidewalls which was produced by very high spatial frequencies achieved from the nonlinearities in accordance with a preferred embodiment of the present invention. FIG. HE shows a concept drawing of an exemplary two color separation for a typical SRAM circuit pattern demonstrating the possibilities for using spatial frequency doubling to enhance the pattern density. FIG. 12 shows an exemplary result of a multiple exposure technique including a 0.05-,um wide photoresist line on a 2-,um pitch, a line:space ratio of 1: 10 in accordance with a preferred embodiment of the present invention; FIG. 13 shows an example of two-dimensional patterning with a dense array of 90-nm diameter holes defined in a photoresist layer on a 1 SO-nm pitch in accordance with a preferred embodiment of the present invention; FIG. 14 shows another example of two-dimensional patterning with a dense, hexagonal close packed pattern written with three two-beam exposures and the wafer rotated 120 0 between exposures in accordance with a preferred embodiment of the present invention; FIG. 15 shows an exemplary 2-D hole pattern written with a five-beam geometry in a single exposure in accordance with a preferred embodiment of the present invention; FIG. 16 shows an exemplary calculation of the structures obtained with the five-beam geometry of FIG. 16 when the exposure flux is increased to form an array of posts rather than the array of holes. 5 10 DETAILED DESCRIPTION OF PREFERRED EXEMPLARY EBODIMENTS 15 20 25 The present invention preferably employs nonlinear processes either in the photoresist intensity response and/or in additional processing steps in order to create high spatial frequencies, beyond the optical propagation limit of 2(A, in a pattern produced on a suitable thin-film layer on a wafer that is used, in subsequent process steps, to transfer the structures containing the high spatial frequencies in the plane of the wafer into the underlying film structure. In a preferred embodiment, two (or more) exposures are individually subjected to thresholding nonlinearities, then the images are preferably combined (added or multiplied) resulting in a pattern containing additional spatial frequencies that are not substantially present in any of the individual images. Mathematically, the specific embodiment of multiplication is equivalent to: 30 T(x, y) = r[E j (x, y)] xr[E2 (x, y)] 35 x ... r[En(x, y)] (4) where the ® represents a convolution operation. In like manner, the embodiment of addition is represented mathematically by: (5) 40 45 50 55 60 65 The thresholding operation suitably results in high spatial frequencies in the final images; the convolution operator suitably results in a final image with spatial frequencies corresponding to substantially all possible combinations (sum and difference) of the frequencies in the individual images. In a preferred embodiment, the thresholding nonlinearity results in frequency components extending beyond the capabilities of an optical system (e. g. frequencies >2(A. Moreover, the multiplication operation preferably extends results in components extending into parts of frequency space that are not substantially addressed by the individual exposures. In contrast to the prior art methods which typically yield rounded comers on the structures as shown in FIG. 6A, the present invention suitably yields the patterns shown in FIG. 6B, namely rectangles with sharp, well-defined comers. The patterns of FIG. 6B are preferably formed in accordance with the present invention by suitably applying the thresholding nonlinearity individually to each exposure and multiplying. Mathematically, the resulting spatial frequency transform is preferably the product of appropriate [sin (fxax)/fxax] and [sin (fyay)/fyay ] functions which yields the spatial Fourier transform of the desired rectangular pattern, VIZ: 6,042,998 13 14 resulting pattern is transferred into the sacrificial layer by a (6) suitable etching step. Any remaining photoresist from the T(x, y) = first photoresist layer is then removed and the wafer is then preferably coated with a second photoresist layer and a 5 second exposure and develop sequence is suitably carried out to transfer a second pattern into this second photoresist layer. In an alternative embodiment, this second exposure is an imaging optical exposure. A second etch step is preferably carried out to transfer the combined pattern into the 10 underlying wafer layers. The second etch step preferably n'=-oo uses a combined etch mask, parts of which are preferably comprised of the nitride layer and parts of which are comprised of the undeveloped photoresist layer. Thus, in a FIGS. 7A-7B show an experimental realization of this preferred embodiment, the combined etch mask provides the pattern. A Si wafer was coated with a thin Si3N4 film and 15 multiplication operation. Finally, the remaining mask layers, with a first photoresist layer. A two-beam interferometric both photoresist and sacrificial material, are preferably exposure was used to define a line:space array in this first removed. While the aforementioned exemplary process is photoresist layer. The pattern was developed, transferred set forth, it will be appreciated by one of ordinary skill in the into the nitride film, and the remaining photoresist removed. art of semiconductor processing that many variants on this An exemplary resulting pattern in the nitride layer is shown 20 basic process exist. For example, in an alternative in FIG. 7A. A second photoresist layer was then applied to embodiment, an additive step, such as deposition and lift-off, the wafer and a second two-beam interferometric exposure, is suitably used in place of one or another of the etch steps substantially at right angles to the first exposure pattern, was recited above. In another alternative embodiment, damasuitably applied and developed. FIG. 7B shows an exemscene (etching, deposition and polishing to produce an inlaid plary resulting pattern: the vertical lines are in the nitride, the horizontal lines are in the second photoresist layer. 25 structure) processes are incorporated into the process. In another alternative embodiment, in certain process flows, Together the two mask patterns provide a multiplication of different sacrificial layers, such as, for example metals, the individual images that have been operated on indepenpoly-Si, polymers and the like, are incorporated into the dently with the nonlinear thresholding responses of the two process. photoresist layers. The composite mask pattern shows subIn practice, to reduce costs, it is typically desirable to stantially right angles at the comers as predicted by Eq. 6 and 30 reduce the number and complexity of processes necessary to in FIG. 6B. FIGS. SA-SC show exemplary results from a similar achieve the desired structure. In particular, it is desirable to create the same combination of nonlinearities in a single calculation for the prototypical array structure of FIG. 1. photolithography sequence without requiring additional etch FIG. SA shows an exemplary result of suitably applying a thresholding nonlinearity to a simple two-beam interfero- 35 or deposition steps. To achieve the same combination withmetric lithography exposure with a CD of 130 nm and a out additional steps, a preferred embodiment of the present pitch of 260 nm. FIG. SB shows an exemplary pattern invention incorporates image reversal and/or multilayer resist systems incorporating two exposures [see, for obtained from a conventional (incoherent illumination) optical lithography exposure of the mask corresponding to FIG. example, Introduction to Microlithography, Second Edition, 1 [I-line (365 nm) exposure wavelength and 0.5 NA lens]. 40 L. F. Thompson, C. G. Willson, M. 1. Bowden, eds. Amer. While the optical exposure typically cannot substantially Chem. Soc. Washington, D.C., 25 1994, pp. 184-190, resolve the 130-nm CD structures, it does provide informa232-251 and 347-371]. Conventionally, image reversal is tion that can be used to suitably restrict the extent of the often used to create a negative-tone image with a positive interferometric exposure which exists over the entire field. resist by exposing the resist (which is specially formulated Finally, FIG. SC shows an exemplary result of multiplying 45 for image reversal) with a first exposure. The first exposure the two patterns to get the final result, thereby showing the suitably frees the bound photo active compound (PAC) in the dramatic improvement in the profiles. This example preferresist. Depending on the resist formulation, the freed PAC is ably involves a combination of an interferometric lithograsuitably removed from the resist film with a bake step or an exposure to an appropriate chemical ambient. Next, a second phy exposure and an imaging optical exposure, while the prior example consisted of two interferometric lithography 50 exposure, usually a flood exposure without any spatial exposures. information, is suitably used to free the remaining bound As mentioned, the present invention relates to the use of PAC in the areas not exposed in the first exposure. Finally, nonlinear processing suitably combined with multiple expoa conventional develop step results in a negative tone image. Multilayer resist systems utilize a similar sequence (expose, sures to extend the range of spatial frequencies beyond those available with conventional single or additive exposure 55 process, expose, develop) with the exposure wavelengths techniques. In implementing the nonlinear processing and chosen to affect specific films within the multilayer resist multiple exposures, the present invention preferably incorfilm stack. porates any suitable combination of interferometric In both processes, the first exposure and intermediate process steps suitably provide a nonlinear response, while lithography, imaging optical and/or other exposure techniques. Thus, a number of processing sequences exist that 60 the second develop step suitably provides a second nonlinear are preferably used to achieve this sequential thresholding of response. In a preferred embodiment of the present each exposure and multiplication of the resulting patterns. invention, the aforementioned flood exposure step is suitIn a preferred embodiment, a sacrificial layer, such as, for ably replaced by a second exposure containing spatial information. In a preferred embodiment, the second exposure is example a Si0 2 or Si3N4 layer, is used with additional processing between the two exposures. More particularly, 65 preferably an interferometric exposure. In an alternative embodiment, the second exposure is an imaging optical following a suitable interferometric lithography exposure and develop of a first pattern in a first photoresist layer, the exposure. The replacement of the flood exposure by a L 6,042,998 15 16 second exposure with spatial information results in the With reference to FIG. 9D, a new photoresist layer 46 is suitably applied and structure 40 is suitably re-exposed and desired sequence of nonlinear steps. developed at substantially the same pitch, but with pattern In an alternative embodiment, the combination of nonlin50 offset by Pmin/2, thereby interpolating new lines 50 earities is suitably achieved by a multi-layer resist process 5 between (e.g. midway) previously defined lines 48 in hard such as discussed by Willson in the above reference. A mask 44. With reference to FIG. 9E, any suitable etching photoresist sensitive at longer wavelengths is preferably process preferably transfers lines 50 into hard mask material deposited onto the wafer first, followed by a photoresist 44, thereby resulting in a pattern 48, 50 with about one half sensitive at shorter wavelengths. The layers include any the pitch of original structure 40. Mathematically, this suitable photoresist, but in this embodiment, an I -line resist 10 sequence of operations is represented as: is used for the bottom layer and a 248-nm resist is used for (7) T(x) = r[E j (x)] x r[E2(x)] the top layer. The top resist is preferably selected to be transparent to the I -line wavelength used to expose the bottom resist, and is preferably chosen to be sufficiently absorbing at the 248-nm wavelength to substantially block 15 any light from the exposure at this wavelength from reaching the bottom layer. In an alternative embodiment, a non-photosensitive buffer layer is suitably deposited 2JrnaSin(8)) ~ sin( - - , , - - F-lfn i~~sin(e.t2 between the two layers to assist in preserving the integrity of " e e A the individual photoresist layers. Consequently, two inde- 20 nf:'oo (2Jrna;in(8)) pendent nonlinearities (thresholding) and a layering (multiplication) of the two exposure masks exists. (2JrnaSin(8)) ~ Sln--Alternatively, the two sensitivities are suitably combined V A ei~~nxfin(e) into a single resist with both positive and negative tonalities, 2 ~ (2Jrna;in(8)) as demonstrated by Hinsberg et al. [W. D. Hinsberg, S. A. 25 MacDonald, L. A. Pederson and C. G. Willson, "A Lithographic Analog of Color Photography: Self-Aligning Phowhere the factor of e iotn =(_lf in the second term arises tolithography Using a Resist with Wavelength-Dependent because of the half-pitch shift of the second pattern. As a Tone," Jour. Imaging Sci. 33, 129-135 (1989).] result, the even terms in the summations add, the odd terms In a second preferred embodiment, two nonlinear func30 cancel, and the final result is just the expression for a tions of intensity are added to create spatial frequencies in periodic square wave structure at twice the period [4 sin the final pattern that are not present in either of the indi(8)/1] of each exposure. vidual exposures, resulting in frequency multiplying. More With reference to FIGS. 10A-IOF, in an alternative particularly, the use of spatial-frequency multiplied interembodiment, a similar process to FIGS. 9A-9E (subtractive ferometric lithography for the reduction in pitch for the array 35 process with etching) is shown which similarly results in a structure of FIG. I will now be described in more detail in factor of two multiplication of the pitch, except a positive FIGS. 9A-9E. FIGS. 9A-9E show a preferred embodiment tone photoresist is used in an additive process (deposition). for a sequence using subtractive fabrication processes that Namely, photoresist layer 66 is preferably a positive tone results in an approximate factor of two increase in the spatial photoresist (e.g. resist is substantially removed on developperiod; i.e. a reduction of a factor of two in the pitch. With 40 ment only in the substantially exposed regions). With refrespect to FIG. 9A, a preferred exemplary structure includes erence to FIG. lOA, a preferred exemplary structure includes the material 42 in which a pattern is suitably formed, a thin the material in which a pattern is suitably formed 62 and any layer 44 of a material which suitably forms a hard mask (for suitable positive tone photoresist layer 66. With respect to example, an Si0 2 layer), and any suitable photoresist layer FIG. lOB, and 10C positive photoresist 66 is suitably 46 which responds to exposure and development. In a 45 exposed using interferometric lithography and developed, preferred embodiment, photoresist layer 46 is a negative thereby leaving positive photoresist 66 in a substantially tone photoresist (e.g. resist is substantially removed on periodic pattern 68 of a pitch of about Pmin' In a preferred development only in the substantially unexposed regions). embodiment, periodic pattern 68 comprises an array of lines In an alternative embodiment, a positive photoresist is used at a substantially minimum pitch having a width substanwith an image reversal step to effectively utilize it as a 50 tially less than about Pmin/4. negative tone material. In either case, a second optical With reference to FIG. 10C, any suitable mask material 64 exposure in the same photoresist level may be used to is preferably deposited substantially everywhere except in delimit the areas of the circuit over which the interferometric the region of positive photoresist 66, then positive photorelithography pattern is defined, for example, to the core areas sist 66 is suitably stripped. With reference to FIG. 10D, a of a DRAM circuit. 55 new positive photoresist layer 66 is suitably applied and With respect to FIG. 9B, photoresist 46 is suitably structure 60 is suitably re-exposed interferometrically and exposed using interferometric lithography and suitably suitably developed at substantially the same pitch, but with developed, thereby forming a periodic pattern 48 (at pitch pattern 70 offset by about Pmin/2, thereby leaving photoresist Pmin) in photoresist 46. In a preferred embodiment, periodic 66 about midway between previously defined lines 68 in pattern 48 comprises an array of lines at a substantially 60 hard mask 64. A second mask layer 72 is then suitably minimum pitch having a width substantially less than about deposited substantially everywhere but in the region of Pmin/4. With reference to FIG. 9C, any suitable etching remaining photoresist 66, thereby serving as an etch mask to process preferably transfers periodic pattern 48 (the lines) allow etching of etch mask 64. With respect to FIG. 10E, into hard mask 44. In a preferred embodiment, a very thin positive photoresist 66 is suitably stripped and, using mask hard mask layer 44 is used such that the etching process does 65 layer 72 as an etch mask, lines 70 are suitably etched into not have to be highly anisotropic. After etching, remaining mask 64. With respect to FIG. 10F, any suitable stripping photoresist 46 is suitably stripped. process preferably removes second mask layer 72, thereby 00 =:: 00 1 . 6,042,998 17 18 resulting in a pattern 68, 70 with about one half the pitch of systems constraints apply to pattern frequencies, not to original structure 60. In a further alternative embodiment, linewidths. This is dramatically illustrated by the microthe process of FIGS. 10A-lOF is suitably reversed by known graph in FIG. lID that shows a 50-nm CD line on a 2-,um pitch, a line:space ratio of 1:20. The very high spatial image reversal techniques using positive tone resists. The alignment between the two exposures described 5 frequencies corresponding to this narrow line are the result above (with respect to either FIGS. 9A-9E or FIGS. of photoresist process nonlinearities, the exposure aerial image was a 2-,um period sine wave. Importantly, the process 10A-lOF) can be accomplished by any suitable method. In latitude for printing this fine line was much greater than that a preferred embodiment, the alignment between the two for printing the 150-nm dense line:space pattern. This is a exposures are suitably accomplished by the techniques described in U.S. Pat. No. 5,216,257-S. R. J. Brueck and 10 superior result in that it is always more difficult to print 1: 1 patterns since these occur very near the threshold dose for Saleem H. Zaidi, Method and Apparatus for Alignment and developing all the way through the resist. Larger line:space Overlay of Submicron Lithographic Features (issued Jun. 1, 1993) and U.S. Pat. No. 5,343,292-S. R. J. Brueck and ratios are closer to saturation where the process is very Saleem H. Zaidi, Method and Apparatus for Alignment of forgiving of small dose variations and the nonlinearities Submicron Lithographic Structures (issued Aug. 30, 1994), 15 (vertical sidewalls) are larger. Thus, it is easier (greater which are all herein incorporated by reference. In brief, the process latitude) to print smaller CD structures at a fixed incident writing beams (or other longer wavelength, nonpitch. actinic beams) preferably impinge on the pattern resulting FIG. lIE shows a concept drawing of how the aforementioned frequency doubling technique might be applied to a from the first exposure. The beams diffracted from the grating on the wafer surface are suitably caused to interfere 20 circuit pattern, in this case a typical SRAM pattern. The two colors indicate the patterns written in each exposure. No two with a standard interferometric optical system (mirrors and features of the same color approach each other by less than beamsplitters) and are preferably incident on an appropriate detector. The resulting projection moire fringe pattern is 1.5 CD. The spacing is less than 2 CD because of the suitably used to set both the spatial frequency and the phase staggered features in the SRAM pattern, so changing the (offset) of the second exposure. In an alternative 25 design to a CD grid would allow a straightforward doubling embodiment, a substantially similar process to the process of the pattern density. described above for alignment of multiple interferometric As shown below in FIGS. 12-17, the present multiple lithography exposures is used to suitably align an interferoexposure technique substantially uniformly produces strucmetric lithography exposure to an optical lithography expotures with a linewidth less than the pitch and substantially sure. 30 accurately aligns the two exposures, so the present invention An exemplary demonstration of subtractive spatial freincreases N. In accordance with a preferred embodiment, for larger quency doubling is shown in FIGS. lIA-lIC. In this pitches, structures with linewidths a factor of 40 less than the exemplary embodiment, the starting material includes <110> Si (to allow anisotropic KOH etching as a final pitch have been produced. With respect to FIG. 12, a pattern transfer step) with a thin (-50-nm) Si3N4 sacrificial 35 0.05-,um wide photoresist line on a 2-,um pitch with a cap layer. This photoresist process uses image reversal with line:space ratio of 1:40 is shown. This experiment used a a 257-nm (doubled Ar-ion laser) source. FIG. lIAshows an positive tone photoresist in accordance with FIGS. 9A-9F; however, the process could be reversed with a negative tone exemplary SEM after the first etch, as demonstrated in FIG. 10C, with a pitch of approximately 260 nm. FIG. lIB shows resist or by known image reversal techniques using positive the exemplary results after the second etch, as demonstrated 40 tone resists. in FIG. 10F, with the pitch suitably reduced to -130 nm and With respect to FIG. 14, an example of a dense array of the CD to -60 nm. Finally, FIG. lIC shows the exemplary 90-nm diameter holes defined in a photoresist layer on a 1S0-nm pitch is shown. This pattern is suitably written in a results of an anisotropic KOH etch of 130-mm pitch pattern into the Si using the nitride layer as a hard mask. In FIG. double exposure process with two two-beam grating expo10C, some etching of the sidewalls has occurred in the KOH 45 sures and the wafer rotated by 90° between exposures. With etch step resulting in thinner lines and a smaller line:space respect to FIG. 15, another example of a dense, hexagonal ratio, namely the final Si linewidth is as small as about close packed pattern written with three two-beam exposures and the wafer rotated 120° between exposures is shown. 20-40 nm. In a preferred embodiment, this multiple exposure techMultiple (greater than two) beam exposures suitably nique (as disclosed above with respect to FIGS. 9A-9E and 50 include another degree of freedom. With respect to FIG. 16, FIGS. 10A-lOF) is suitably repeated a number of times with an exemplary 2-D hole pattern suitably written with a appropriate offsets to produce pitches of about piN where five-beam geometry in a single exposure is shown. In a N=l (original pattern), 2 (one additional exposure and preferred embodiment, the multiple beam exposures suitably processing sequence), 3 (two additional exposures and procreate more complex features, with correspondingly cessing sequences), etc. 55 enhanced surface area. With respect to FIG. 17, an exemIn an alternative embodiment, this technique can be plary calculation is shown with the structures obtained with extended to two-dimensional patterning by using either the five-beam geometry of FIG. 16 when the exposure flux multiple exposures and/or multiple-beam single exposures. is increased to form an array of posts rather than the array For a grid of holes or posts with equal pitches, Pl, in both the of holes. As seen in FIG. 17, the posts are substantially x- and y-directions, a second exposure at the same pitch but 60 hollow cylindrical forms (donuts) having both inner and outer surfaces, thereby approximately doubling the perimshifted by P'1/2 in x and Pl/2 in y decreases the pitch (now eter when compared with the simple hole arrays of FIG. 16. P2) to approximately P2=P1N2. With two further exposures The approximate doubling of the perimeter in FIG. 17 leads a new pitch (now P3) of approximately P3=Pl/2 is achieved. As discussed above, nonlinearities allow the extension of to further enhanced surface area when suitably etching these optics beyond the linear systems limit. As such, higher 65 structures into the polysilicon contact material. Compared to the one dimensional structures, two-dimensional features spatial frequencies can be accessed by taking advantage of nonlinearities in processing. In other words, the linear typically experience comparable or even greater surface area 6,042,998 19 20 enhancements. Furthermore, with two-dimensional bandgaps where specific wavelength bands of light cannot structures, the impact of a defect (e.g. too thin of a wall that penetrate. The present invention provides a technique for large-scale manufacturing of the nano-scale twocollapses) is suitably lowered. In addition to DRAM capacitors, multiplying the spatial dimensional patterns required for manufacturing photonic frequency of lithographically defined structures suitably 5 crystals for the infrared, visible and ultraviolet spectral allows for substantial improvements in, inter alia, crystal regions. Further, using the present invention, defects may be growth, quantum structure growth and fabrication, flux suitably formed in this structure which give rise to important pinning sites for high-Tc superconductors, form birefringent classes of optical emitters with unique properties such as thresholdless lasers. materials, reflective optical coatings, photonic bandgap, The present invention is also preferably used to increase electronics, optical/magnetic storage media, arrays of field 10 the number of transistors on semiconductor electronics, emitters and in other applications requiring large areas of nm-scale features. thereby allowing more and more smaller and smaller devices. More particularly, in another preferred embodiment, the With respect to optical/magnetic storage media, the present invention is suitably applied to textured substrates for crystal growth. An array of small scale structures is 15 present invention defines individual nm-scale single-domain suitably fabricated as the epitaxial growth surface ("bed of sites which preferably improve the storage density by reducnanoneedles"). At the initiation of growth, small islands of ing interactions between the information stored on indigrowth rather than the monolithic substrates are preferably vidual sites. Moreover, by lithographically defining features used. This approach typically has advantages for growth of on the media in accordance with the present invention, the strained materials where the epitaxial film has a significantly 20 tracking electronics preferably resolves smaller distances. different lattice constant than does the substrate material. For With respect to arrays of field emitters, as discussed above this "bed of nanoneedles" approach, edge effects and strain for quantum structures, for all of these techniques there can relaxation suitably provide advantages over monolithic be a significant advantage in terms of feature and current growth. density to starting with a higher resolution lithographic Application of the present invention to quantum structure 25 technique. The present invention also suitably simplifies the growth and fabrication is similar to the above crystal growth fabrication process by defining the initial structures in the application with the exception that the growth typically nm range rather than the ,um range. While the present invention has been described in coninvolves at least two materials: a lower bandgap material junction with the preferred and alternate embodiments set surrounded by a higher bandgap material to provide a quantum wire or a quantum dot. The present invention 30 forth in the drawing figures and the specification, it will be appreciated that the invention is not so limited. For example, suitably further reduces the dimensionality of quantum wells from 2-D sheets to I-D wires and O-D boxes by uniformly the method and apparatus for multiplying spatial frequency defining nucleation sites. can also be used for other semiconductor-manufacturing With respect to flux pinning sites for high- Tc related applications including test-structures for the develsuperconductors, the present fabrication technique suitably 35 opment of next generation processing tools, flat-panel displays and any other application which requires low-cost, provides flux pinning sites by inducing localized defects in the film to trap the flux lines. In order to achieve the desired large-area, nm-scale patterning capability. Various modificritical currents, the density of trap sites is preferably on the cations in the selection and arrangement of components and nm-scale (-5-50 nm spacings). To induce these defects, the materials may be made without departing from the spirit and film is preferably denatured using a lithographic step after 40 scope of invention as set forth in the appended claims. growth. Alternatively, defects are preferably induced in the We claim: crystal substrate before film growth because there is less risk 1. A method for obtaining a pattern wherein the Fourier of destroying the superconducting properties of the film. transform of said pattern contains high spatial frequencies The present invention suitably provides periodic by combining nonlinear functions of intensity of at least two structures, such as gratings, which preferably playa very 45 exposures combined with at least one nonlinear processing important role in optics. The periodicities produced by the step intermediate between the two exposures to form three present invention are preferably shorter than the optical dimensional patterns comprising the steps of: wavelength such that the improved periodicities give rise to coating a substrate with a first photoresist layer; significant modifications in both the linear and nonlinear exposing said first photoresist layer with a first exposure; optical response of materials. For example, the resulting 50 developing said first photoresist layer to form a first one-dimensional gratings with pitches much less than the pattern in said first photoresist layer, said first pattern wavelength preferably result in a birefringent response such containing spatial frequencies greater than those in a that the reflectivity and transmission differs between light two dimensional optical intensity image imposed onto polarized along the grating and light polarized perpendicular said photoresist layer in said first exposure as a result to the grating. Since the pitch is less than the wavelength, 55 of a nonlinear response of said first photoresist layer; there are no diffracted orders from such a grating, implying coating said substrate with a second photoresist layer; high efficiency. This is known as form birefringence and exposing said second photoresist layer with a second offers the potential for a wide range of optical components. exposure; Reflective optical coatings, known as Bragg reflectors, developing said second photoresist layer to form a second often consist of layered stacks of different materials with 60 pattern in said second photoresist layer, said second each layer having a Y4 wave optical thickness. Very high pattern containing spatial frequencies greater than reflectivities are preferably achieved, even with relatively those in a two dimensional optical intensity image small refractive index differences between the materials. The imposed onto said photoresist layer in said second extension to a periodic three dimensional optical structure is exposure as a result of a nonlinear response of said known as a photonic crystal. In the same way as semicon- 65 second photoresist layer; ductor crystals have forbidden energy gaps within which electrons cannot exist, photonic crystals exhibit photonic combining said patterns to provide a final pattern. 6,042,998 21 22 2. The method of claim 1 wherein said first exposure 10. The method of claim 8, wherein said material includes includes a plurality of exposures forming a plurality of an Si0 2 overlayer configured to act as a hardmask during images. said etching step. 3. The method of claim 1 wherein said second exposure 11. The method of claim 8, wherein said step of depositing includes a plurality of exposures forming a plurality of 5 a photoresist includes depositing at least one of a negative images. photoresist, a positive photoresist and a positive photoresist 4. The method of claim 1, wherein a minimum of said with an image reversal step. spatial frequencies along at least one direction in said first or 12. The method of claim 8, wherein said step of exposing second pattern is smaller than 2/A. a photoresist includes exposing using interference lithogra5. The method of claim 1, wherein said intermediate 10 phy. nonlinear processing step enables a frequency distribution of 13. The method of claim 8, wherein said step of exposing a photoresist includes exposing using interference lithograsaid pattern which is altered from frequency distributions of phy in combination with another lithographic technique. only said first and said second exposure. 14. The method of claim 8, wherein said step of exposing 6. A method for obtaining a pattern wherein the Fourier transform of said pattern contains high spatial frequencies 15 a photoresist includes exposing using interference lithograby combining nonlinear functions of intensity of at least two phy in combination with an optical stepper. exposures combined with at least one nonlinear processing 15. The method of claim 8, wherein said step of exposing a photoresist includes image reversal. step intermediate between the two exposures to form three 16. The method of claim 8, wherein said step of develdimensional patterns comprising the steps of: coating a substrate with a first mask material and a first 20 oping said periodic pattern includes etching said pattern into a hardmask. photoresist layer; 17. The method of claim 8, wherein said exposing step exposing said first photoresist layer with a first exposure includes exposing with at least one of multiple exposures developing said photoresist to form a first pattern in said and multiple-beam single exposures. first photoresist layer, said first pattern containing spa18. The method of claim 8, wherein said step of depostial frequencies greater than those in a two dimensional 25 iting a material includes depositing a material on at least one optical intensity image imposed onto said photoresist of a textured substrate, a quantum structure, a flux pinning layer in said first exposure as a result of a nonlinear site for high-Tc superconductors, a birefringent material, a response of said first photoresist layer; reflective optical coating, a photonic bandgap, an electronic transferring said first pattern into said first mask material, 30 device, an optical storage media, a magnetic storage media, said first mask material comprising at least one of Si0 2 , an array of field emitters and a Dynamic Random Access Si3 N 4 , a metal, a polysilicon and a polymer; Memory capacitor. coating said substrate with a second photoresist; 19. A method for multiplying the spatial frequency conexposing said second photoresist with a second exposure tent of a one-dimensionalline/space pattern consisting of the developing said second photoresist layer to form a second 35 steps of: pattern in said second photoresist layer, said second providing a substrate; pattern containing spatial frequencies greater than depositing a material on said substrate; those in a two dimensional optical intensity image depositing a photoresist on said material; imposed onto said photoresist layer in said second exposing and developing a periodic pattern in said exposure as a result of a nonlinear response of said 40 photoresist, said periodic pattern having a pitch Pmin second photoresist layer; and a linewidth less than Pminl2; transferring said first pattern and said second pattern into transferring said periodic pattern into said material by a said substrate using a combined mask including parts of process step; said first mask layer and said second photoresist; removing said first mask material and said second pho- 45 removing said first photoresist layer; toresist. depositing a second photoresist layer; 7. The method of claim 6 wherein said transferring step exposing said second photoresist layer with said periodic includes at least one of etching, deposition and-lift off, and pattern offset by Pminl2; damascene. repeating the exposing, developing and transferring steps 8. A method for increasing spatial frequency content of 50 N times with offsets of pmin/N, thereby interpolating N lithographic patterns comprising the steps of: new said patterns equally spaced midway between said depositing a material; pattern, depositing a photoresist on said material; etching exposed said material down to a predetermined exposing a periodic image in said photoresist, said peridepth, thereby transferring said pattern through said 55 odic image having a pitch Pmin and a linewidth less than material; Pminl2 ; transferring said pattern into said substrate. developing said periodic image to form a periodic pattern 20. The method of claim 19, wherein said step of deposin said photoresist; iting a material includes depositing in-situ doped polysilitransferring said periodic pattern to said material; 60 con. depositing a second photoresist layer on said material; 21. The method of claim 19, wherein said material offsetting said periodic pattern by Pminl2; includes an Si0 2 overlayer configured to act as a hardmask repeating said exposing, developing and transferring during said etching step. steps, thereby interpolating new said pattern midway 22. The method of claim 19, wherein said step of deposbetween said pattern. 65 iting a photoresist includes depositing at least one of a 9. The method of claim 8, wherein said step of depositing negative photoresist, a positive photoresist and a positive a material includes depositing doped polysilicon. photoresist with an image reversal step. 6,042,998 23 24 23. The method of claim 19, wherein said step of exposing 28. The method of claim 19, further comprising at least a photoresist includes exposing using interference lithograone of multiple exposures and multiple-beam single expophy. sures. 24. The method of claim 19, wherein said step of exposing 29. The method of claim 19, wherein said pattern size a photoresist includes exposing using interference lithogra - 5 avoids overlapping of pattern features upon doubling of said phy in combination with a lithographic technique. frequency. 25. The method of claim 19, wherein said step of exposing 30. The method of claim 19, further comprising registera photoresist includes exposing using interference lithograing said periodic pattern to a contact patterning. phy in combination with an optical stepper. 31. The method of claim 19 further comprising the step of 26. The method of claim 19, wherein said step of exposing 10 allowing about 100 nm between adjacent said patterns. 32. The method of claim 19, wherein said step of deposa photoresist includes image reversal. 27. The method of claim 19, wherein said step of develiting a material includes depositing an NO layer. oping said periodic pattern includes etching said pattern into a hardmask. * * * * *

Disclaimer: Justia Dockets & Filings provides public litigation records from the federal appellate and district courts. These filings and docket sheets should not be considered findings of fact or liability, nor do they necessarily reflect the view of Justia.


Why Is My Information Online?