Synopsys, Inc. v. Atoptech, Inc

Filing 929

PERMANENT INJUNCTION AND DISPOSITION ORDER. Signed by Judge Maxine M. Chesney on 12/19/16. (Attachments: # 1 Appendix Part One, # 2 Appendix Part Two, # 3 Appendix Part Three, # 4 Appendix Part Four, # 5 Appendix Part Five) (mmclc2, COURT STAFF) (Filed on 12/19/2016)

Download PDF
APPENDIX Part One Trial Exhibit 1439 add_to_collection Adds objects to a col l ect i on, resulting in a new collection. The base collection remains unchanged. SYNTAX c o llec tion add_ to_ collection ba se_col lec t ion objec t _spec [- unique) c o l l ec tionba s e_ collecti on ob jec t _spec l ist Command: add_to_collection <String:base_collection> <String:obj_spec> return a new collection with objects added to the base collection option : - unique - - get_opt i on arg <l > -- set_option - - get_defau l t arg <l > -- set_default - -list_options --load_options -- license - - help Rem ove duplicat objects from the resulting collection get option value set option value get default value set default va l ue list current option values load current option values l i st required licenses display command help ARGUMENTS base_ collection Specifies the base collection to which objects are to be added. This collection is copied to the result collection, and objects matching object_ spec are added to the result collection. base_ collection can be the empty collection (empty string}, subject to some constraints , explained in the DESCRIPTION . object_ spec Specifies a list of named objects or collections to add . If the base col lection is heterogeneous, only collections can be added to it . If the base collection is homogeneous , the obj ect class of each element in this lise must be the same as in the base collection. If it is not the same class, it is ignored. From heterogeneous collections i n the object_ spec , only objects of the same class of the base collection are added. If the name matches an existing collectio- , the collection is used. Otherwise, the n objects are searched for in the database using the ob j ect class of the base collection. The object_ spec has some special rules when the base collection is empty, as explained in the DESCRIPTION. -unique Indicates that duplicate objects are to be removed from the resulting collection. By defa ult, duplic~te objects are not removed. Case No. 3:13-cv-02965-MMC PLNTF Exhibit No. F 1439 Date Entered ~fB 2 9 2016 Signature 1 append_to_collection Ad d ob jec t ( s ) to a c o l lec tion . M difies v a ri able . o SYNTAX col l ecti on add_ to_ collection var_ name object_ spec [- unique] col l ec ti on var_ name l i st object_ spec Command: append_to_collection <string:collection_var> <String : obj_spec> append objects to a base collection variable option : -unique --get_option arg <l > --set_option --get_default arg<l> -- set_default .. . -- list_options --load_options --license --help Remove duplicat objects from the resulting collection get option value set option value get default value set default value list current option valu es load current option values list required licenses display command help ARGUMENTS var_ narne Specifies a variabl e name. The objects matching object_spec are added into the coll e ction refe renced by this variable. object_ spec Specifies a list of named objects o r collections to add. -unique Indicates that duplicate objects are to be removed from the resulting collection. By default, duplicate ob j ects are n ot removed . 2 2 characterize_ context Command: characterize_conte xt <* :module_inst_list> Derive t iming const raints for a set of cell instances. Captures the timi ng context of a list of instances. SYNTAX string characterize_context [ - timing ] [ - environmen t] [ - design_ r u les] [ -constant_ inputs] [ -no_ boundary_ annotation s ) cell_ list l ist cell _ list option: -t i mi ng -environment -design_rules -constant_ inputs -no_boundary_annotations --get_option arg <l > --set_option . .. --get_default arg <l> --set default .. . --list_options --load_options ... --license --help not supported yet not supported yet not supported yet not supported yet not supported yet get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS -timing Characterizes timing information; for example, clocks, input and output delays, and timing exceptions . -environment Characterizes environment-related informationi for example, operating conditions (process, temperature, and voltage), wire load model, capacitive loads on input and output pins, and driving cell information on input pins . -design_rules Characterizes design rules; for example, rnax_ capacitance , max_ transition, and max_Eanout . -constant_ inputs Characterizes logic constants propagated to input pins of t he instance being characterized by the case analysis capability of PrimeTime . - no_ boundary_ annotations Disables characterization of annotated capacitance on boundary ne ts as annotated capacitance in the characterized instance. Instead, t he port wire capacitance is adjusted to account f or any difference between the estimated and annotated values . By default , PrirneTirne characterizes annotated capacitance on boun dary nets as annotated capacitance in t h e characterized instance. cell_list Specifies a list of instances to characterize . 3 check_timing Command: check_timing Checks possible design timing problems Shows possible timing p roblems for design. SYNTAX option: -verbose -scenario string --get_option arg<l> --set_option --get_default arg<l> --set_default --list_options --load_options --license --help string check_ timing (-ve rbose ) [-significan t_di gits digits] [-ms_mi n_ separation delta] [-override_ defaults check_list ] [- include check_ list] [-excl ude check_ list] float delta int digits list check_l ist show more details specify working scenario get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS -verbose Shows detailed information about potential problems . -significant_ digits digits Specifies the number of digits of precision to be displayed by warnings that show floating poin t numbers. Allowed values are 0-13; the default is determined by the report_ default_ significant_ digits variable, whose default value is 2. Use this option if you want to override the default. -ms_min_ separation delta Minimum separation value between master and slave clocks. The default minimum separation is 0 . 0. -override_ defaults check_ list Overrides the checks in tirning_ check_ defaults using check_list page of timang_ check_defaults for its default value . -include check_ list Adds the checks listed in check_ list to the checks in See the man t~ing_che c k_defaults. -exclude check_ list Sub tracts the checks listed in check_ list from the checks in timdng_ cbeck_ defaults . check_ list Gives the list of checks to be performed. Each element in this list is one of the following strings: clock_ crossing, data_ check_ mu l tiple_ clock, data_check_ no_ clock, generated_clocks, generic, latch_fanout, latency_ overri de, l oops, ms_ separation, multiple_clock, no_ clock, no_ input_delay, retain, signal_level, unconstrained_endpoints . 4 4 compare_collections Compares the contents of two collections . If the same objects are in both collections, the res u lt is " 0 " (like st r ing compare) . If they are different , result is nonzero. The order of the objects can optionally be considered . Command: compare_co ll ecti ons <~':co ll ecti onl> compar e the objects in the collections <~':co ll ecti on2> the SYNTAX int compare_ collections ( - orde r _ dependent ) collection1 collection2 collection collection1 collec t ion collection2 option: -orde r_dependent --get_option arg<l> --set_option --get_default arg<l> --set_default --list_options --load_options --license --help compare objects order get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS -order_ dependent Indicates that the order of the objects is to be considered; that is, the col l ections are considered t o be different if t he objects are ordered differently . collection l Specifies the base collection for the comparison . The empty string (the empty collection) is a legal value for the collection] argument . collection 2 Specifies the collection with which to compare to collectionl . The empty str ing (the empty collection) is a legal value for the collection2 argumen t. 5 5 connect net Command: connect <*:pin_ports> connects port/pins to a net Connects a net to specified p ins or ports . SYNTAX i n t connect_net n e t object_ spec s t ringnet lis t obj ec t _ spec option: -net '~ -reconnect --get_option arg<l> --set_option --get_default arg<l> --set_default ... --list_options --load_options --license --help net (require) disconnect the pin/port first i f it is still connected get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS ne t Spec ifies the name of the net to which the pins and ports are to be connected . object_spec Specifies a list of p ins or ports to connect to net. 6 6 create_configuration Command: create_configuration Don't support. Creates a configurat i on f o r mu lt i-scenario a n alys i s . SYNTAX create_ configuration -global _ da ta file_ list l ist file_list option: -global_data * --get_option arg<l> - - set_option --get_default arg<l> --set_default --list_options --load_options --license --hel p order dependent setup files (require) get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS - globa l _da t a An order dependent list of files containing everything that is common across the entire analys i s. These f i les must at the very minimum contain the commands needed to read the design netlis t . 7 7 create_operating_conditions Command: create_operating_conditions standard SDC command Cr eates a new se t of operating condi ti ons in a l i brary. SYNTAX i n t create_ operating_ conditions -name name - library library_ name -process process_value -temperature temperature_value -voltage voltage_value [-tree_type tree_type] [- calc_mode calc_ mode ] [ - ra i l _vo l tages rail_value_pairs] string name str i ng li brary_ name float process_value float temperature_value float voltage_value str i ng tree_ type string calc_ mode Tel l i st rail_val ue_pairs option: -nam string e nam of operating condition (require) e - l ibrary string name of library ( require) - process doub l e(O.O) process scaling factor ( require) - temperature double(O.O) temperature value (require) -voltage doub l e(O . O) voltage value (require) -tree_type tree_type(balanced_tree) tree type tree_type = bal anced_tree I best_case_tree I worst_case_tree not supported yet - ca 1c_m ode '" not support ed yet -rai l_vol tage '" --get_option arg <l> get option value - - set_option set option value - - get_default arg <l > get default value --set_def ault .. . set de f aul t value --list_options list current option values --load_options load current option values list required licenses --license display command help - -help ARGUMENTS - name name Speci fie s the name of the new set o E operating conditions . -library libra ry_name Specifies the name of the librar y Eor the n ew operating condi tion s . -process process_value Specifies the process sca ling facto r for the operating conditions . Al lowed val ues are 0.0 t h r ough 100 . 0. -temper atu r e temperature_ value Specifies the temperature va l ue, in deg rees Celsius, for the operating condit i ons . Allowed values are - 300 . 0 through +500 . 0. - voltage voltage_value Spec i f ies the vol t age va l ue , i n vol ts, Eo r t he operat i ng c ondition s . Al l owed values are 0 . 0 through 1000.0 . 8 8 -tree_ type tree_ type Specifies the tree type for the operating conditions. Allowed values are best_cas e_tree , balanced_tree (the default), or worst_case_tree. The tree type is used to estimate interconnect de l ays by providing a model of the RC tree . - calc_mode calc_mode For use only with DPCM libraries. Specifies t he DPCM delay calculator mode for the operating conditionsi analogous to t he process used in Synopsys libraries. Allowed values are unknown (the default), best_case, nominal, or worst_case. The default behavior (unknown) is to use worst case values during analysis similarly to worst_case. If -rail_ voltages are specif ied, the command sets all (worst_case, nominal, and best_case) voltage values . - rai l_voltages rail_value_pairs Specifies a list of name-value pairs that defines the voltage for each specified rail. The name is one of the rail names defined in th e library; the va l ue is the voltage to be assigned to that rail. By default, rai l vol tages are as defined in the library; use this option to override the default voltages for specified rails . 9 9 define user_attribute Command: define_user_attribute <String:attr_name> user defined attribute Defines a new u ser -de fi n ed attribute . option: -type type() SYNTAX st r i ng define_ user_ attribute -type data_ type - c l a ss es class_ l is t [ -range_min min] [-range _max max] [ -on e_of v a l ues] [-import ] [ - qu i et] attr_name string data_ t ype lis t c lass_ list d o uble min d o uble max li s t values s t ring attr_name -class string --get_option arg<l> --set_option --get_default arg<l> --set default --list_options --load_options --license --help data type (require) type = int I float I string point class name of object (require) get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS - type data_type Specifies the data type of the attribute. The supported data types are string, int, float, double, and boo lea n. -classes class_list Defines the a ttri bute for one or more of t he classes . The valid object cl asses are d e sign, port , c e ll , pin, ne t , li b, l ib_ c e ll or l i b_pin. -range_m i n min Specifies min value f or numeric ra nges . This is only valid when the dat a_ type is int or double. Spec ify ing a minimum cons trai nt without a maximum constraint creates an attribute which accepts a value = min. - range_max max Specifies max value f or numeric ranges. This i s only valid when the data~ type is int or doubl e. Specifying a maximum constraint withou t a minimum cons t ra in t creates an attribute which accepts a value max. = -one of values Provides a list of allowable s trings. Th is is only valid when the data t ype is string . -import I mport this attribute fr om a design or library database. -quiet Does n ot report any messages. a ttr_na me Spec i fies the na me of the attribu te . 10 10 derive_clocks Command: derive_clocks Create clocks to make design registers constrainted. Create s c l ocks on s our c e p i ns i n des i gn . SYNTAX s tring derive_ clocks -pe ri od peri od_ val ue [ -wa v e f or m edg e_ l i s t ] f l oat p e riod_ val ue l i st e d ge_list option: -period doubl e(O.O) -waveform 1' --get_option arg<l> --set_option --get_default arg<l> --set_default --list_options --load_options --license --help clock period (requi re) clock waveform get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS - period period_ value Specifies the c l ock period of the automatically derived clocks. The clock period has a va l ue greater than or equal to zero (value = 0). - waveform edge_list Specifies t h e rise and fall edge times of the clock, in l ibrary time un its , over an entire c l ock per i od . It de fi nes t h e cl ock edge spec ifi cation. The first time that is listed is a rising t ransition ; typica l ly the first rising transition after time zero . There must be an even number of increasing times and alternating rise and fall times. I f you do not specify an edge_ list value, the command assumes a defau l t waveform that has a r i se edge of 0.0 and a fall edge of period_value/2 . 11 11 filter The filter command, a synonym f or the filter_ collection command, is a DC Emu l ation command prov i ded for compatibi l ity with Design Comp i l er. Command: filter <*:collection> <string:expression> Create a new collection from subset of a collection. 12 12 foreach- in- collection Command: fo reach_ i n_co 11 ect ion <'~: it r _var> < '~ :co 11 ecti on > < '~ :body> evalu l ate the body script with each ob j ect in a collect i on Iterates over the e l emen ts of a c ol l ect i on. SYNTAX s tr ing foreach_ in_ collection itr_var collections body string i tr_ v a r list coll ec t ions string body option: --license --help list required licenses display command help ARGUMENTS itr_ var Specifies the name of the iter a t o r va r i abl e. collections Specif i es a l i st of col l ections over which to iterate. body Specifies a scr i pt to execute per iter ation . 13 13 get_ attribute Command: get_attribute <* :object_or_collection> <string:attr_name> get object attribute Retr i eves the value of a n a ttri b u te on a n obj ec t. SYNTAX string get_ attribute [-class class_ name] stri ngclass_name st ringobject_ spec or collection object_spec stringa t tr_ name [-quiet ] object_ spec attr_ name option: -class string -quiet --get_option arg <l> --set_option --get_default arg <l > --set_default --list_options --load_options --license --help class name of object not supported yet get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS -class class_name Specifies the class name of object_spec, if object_spec is a name. Valid value s for object_spec are design, port, cell, pin, net, lib, lib_cell, lib_pin, clock, timing_path, and timing_point. You must use this option if obj ect_spec is a n ame . - quiet I ndicates that any error and wa r ning messages are no t to be reported. object_spec Specifies a single object from which to get the attribute va lue. object_spec mu st be is either a collec ti on of exactly one object, or a name which is combined with the class_name to find the object . I f object_spec is a name, you mus t a l so use t he -class option. attr_name Specifies the name of the attribute whose value is to be retrieved . 14 14 get_generated_clocks Command: get_generated_clocks <*:patterns> Get generated clock objects. Creates a collec t ion o f gener ated clocks. SYNTAX collection get_generated_clocks [-quiet] patterns [ - regexp] [- nocase] [ - fi lter expression ] st ring expressi on list patterns option: -quiet -regexp -nocase -filter string --get_option arg<l> --set_option ... --get_default arg<l> --set default --list_options --load_options --license --help not supported yet use regular expression for pattern case insensitive filter_expression get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS - quiet Suppresses warn ing and error messages if no objects match. Syntax error messages are not suppressed . -regexp Views the patterns argumen t as real regular expressions rather than s imple wildcard patterns. Also, modifies the behavior of the =- and !- filter operators to compare with real regular express ions rather than simple wildcard patterns . -nocase When combined with -regexp, makes matches case-insensitive. You can use nocase on l y when you also use -regexp . -filter expression Filters the collection with expression . For any generated clocks t hat match patterns, the expression is evaluated based on the generated c lock's attributes. If the expression eva l uat es to true, the generated clock is included in t he resul t. patterns Matches generated clock names against patterns. Pa tterns can inc l ude the wildcard characters "*• and~?·. 15 15 get_object_name Gets the na me of the object in a co l l ection of exact l y one object . Command: get_object_name <*:object_or_collection> get name of a single object SYNTAX string get_ object_ name collection stringcoll ec ti on option: --license --help list required licenses display command help ARGUMENTS co l lection Specifies the collection. This must be a co l lection of exact l y one object. 16 16 index_collection Creates a single e lemen t col l ection . I . e . Given a co l lection and an i ndex in t o it, if the index is in range, extracts the object at that index and creates a new col l ection conta i ning only t ha t objec t . The base collection remains unchanged. SYNTAX collection index_collection collectionl index co ll ect i on col l ectionl int index Command: index_collection <* :col lection> <integer:index> retrieve an object from a col l ection option: --license -- hel p list req uired l i censes display command help ARGUMENTS collectionl Specifies t he col lec tion to be searched. index Speci f ies the i ndex into the collection . Al lowed val ues are integers from 0 to s i zeof _collection - 1. 17 17 inser t _ b uffer Inserts a buf f er a t one or more plns. SYNTAX stri n g insert_ buffer [-libraries li b_spec] [ -inverter_pair ] [-new_net_names new_net_names] [- new_ ce l l_names new_cell_names] pin_or_por t_list lib_ cell l i st new_net_names list new_cell_names lis t pin_or_port_list st r i n g lib_ cell Command: insert_buffer insert_buffer --interactive internal development utility option: -net 7' -buffer_cell * -candidate_location point -skip_legalize -no_worse_timing -inverter_pair -connected_fanout * -module * -new_net_name string -new_buf_name string --get_option arg <l > --set_option --get_default arg<l> --set_default . . . --list_options --load_options .. . --current_options --interactive --end_ interactive --license --help the net to be buffered (require) (gui) specify buffer library cell (gui) buffer/inverters candidate location (require) (gui) skip incremental placement legalization (gui) do not commit if timing does not improve (gui) use inverter pair in stead of buffer (gui) fanouts connected with added buffer. (gui) buffer/inverters module (gui) specify the name of new net (gui) specify the name of new buffer (gui) get option value set option value get default value set default value list current option values load current option values load option value from memory enter into gui interactive mode exit from gui interactive mode list required licenses display command help 18 18 ARGUMENTS - lib raries lib_spec If this option is specified, then PrirneTime resolves lib_cellP from the libraries contained in the li b_ spec only. Libraries are searched in the order in which they appear in lib_spec. lib_spec can be a list of library n ames, or collections of librar ies l oaded into PrimeTime; the la t ter can be ob t ain ed u si ng the get_ libs command. You canno t specify this option if a full library cell name has been speci f ied. -inve rter_pair Indicates that a pair of inverting l i brary cells is to be insert ed instead of a single non-inverting l ibrary cel l. - new_net_names new_net_names Specifies the net name to be given to the new net This opt ion can only be used if only one buffer or inserted . If one bu ffe r is being inserted , you have If an inverter p air is being inserted, you have t o names can be a ny valid net names, but must be t he that PrimeTime inserts . an inver t er pa i r is being to pass on ly one net name . pass t wo net names. These l eaf names i .e. no t the hierarchi cal names. The new na mes mu s t n ot contain embedded hierarchica l separators . The new names mu s t be un i que i n the current context (as speci fi ed by current_instance) . If you use this option, you have to also use t h e new_ cell_ narnes option. - new_ce l l_name s new_cell_names Specifies the c e ll n ame to be given to the new c el l that Pr i meTime i ns er ts . This option can on ly be us e d if only one buffe r or an inverter pa i r i s being i ns erted. I f one bu ffer is be i ng i nserted, you have to pass only one cell name. If an i nver t er pair is being inserted, you have to pass two ce l l names . These n ames can be a ny va l i d cell names, but must be the leaf names i.e. not the h ierar chical names. The new names must not contain embedd ed h i erarch i cal s eparat o r s . The new names mu s t be unique in the c urrent context (as specified by curren t _ instance) . If you use this option, you have to also u se the new_net_ names option. p in_or_port_list Speci fie s a lis t o f pin s or ports to buffer . 19 19 link_design Command: link_design build a design database from verilog net-list and library cells Res olve s r e fe renc e s in a de sign . SYNTAX st ring link_ design [ - verbose] [d esign_name] stringdesign_name [ - remove_ s ub_ designs] [ - keep_ sub_ design s] ption: -no_proto_lib_cell do not fake any prototyping lib cell for empty modules -replace_own_gds_lib_with * use cells in specified lib to repalce cells in project's own_gds_lib - max_ref_count_for_proto_module integer(lO) max. number of references allowed for proto-module -min_pin_count_for_proto_module integer(SO) min. number of pins for protomodule --get_option arg<l> get option value --set_option .. . set option value -- get_default get default value arg<l> --set default . .. set default value --list_options list current option values --load_options ... load current option values --license list required licenses --help display command help 20 20 ARGUMENTS - verbose Indicates that the link er is t o display verbose messages. - reroove_sub_designs Ind icates that subdesigns are t o be removed af ter linking. By defaul t, subdesigns are removed. Use th is option to free up memory and improve performance. For more information, see the s ec tion entitled " Performance Considerations ." -keep_ sub_ designs Ind icat es that subdesigns are to be kept af t er linking. By defaul t , subdesigns are removed. Use this option to keep the sub-designs around so that current_ design can be changed to other designs later. design_naroe Specifies the name of the design to be l inked; the default is the current design. 21 21 list_attributes Command: list_attributes print out a l ist of attributes of an object class Lists currently defined attributes . SYNTAX s tr i ng list_ attributes [ - a ppl ica t i on ] [ - c l as s c l as s_ name ] st r ing cl ass _ name option: -class string -appl ication --get_option arg<l> --set_option --get_default arg<l> --set_default --list_options --load_options --license --help cl ass name of object not supported yet get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS - application Lists appl i ca ti on attributes as wel l as user-defined a ttributes. - class class_name Limit the listing to a tt ribu tes of a single class. Valid classes are design, port, cell, net, and so on. 22 22 list_libraries Lists al l l i b rar i e s that ar e read into Pr i meTime . SYNTAX Command: list_libraries [string:lib_name] list_libraries --interactive lists all libraries that are read into AP option: -only_used st ring list_ libraries [- onl y _ used ] -detail -1 i b cell * -select boolean(!) --get_option arg<l> --set_option --get_default arg<l> --set default --list_options --load_options --current_options --interactive --end interactive --license --help only list libraries/lib_cell used by current project (gui) list all the used lib_cells (gui) lib cell filter (gui-only) auto select on browsing object (gui-only) get option value set option value get default value set default value list current option values load current option values load option value from memory enter into gui interactive mode exit from gui interactive mode list required licenses display command help ARGUMENTS - onl y_u sed Indic at e s on l y the list li b r a r ie s in u se . A libra r y is i n us e i f a linked design links to library ce l ls from the libr ary. 23 23 Command: read_milkyway_fram <String:mw_library_path> reads physical library data from a Milkyway FRAM library read_milkyway Reads in one l inked design fro m milkyway database. SYNTAX int read_ milkyway [-version version] scenario scenario_ name] CEL_ name string CEL_name string scenario_ name string design_ library [ -netlist_onl y ] [-library design_library] [- ARGUMENTS - version version Speci f ies the version of the design to be read. For examp l e , there are design files unde r the CEL view in the milkyway design library design_lib: 'design_ lib/CEL/designl_pre_ routel:l', 'design_lib/CEL/ designl_post_r oute:2' etc. The 1 or 2 after the •: • is the vers i on number of the design. The default is to read the most current v er sion. option: --license --hel p list required licenses display command help -netlist_only I ndicates that only the netlist is to be read; constraints are not read. The default is to read both netlist and con strain ts. -library design_library Specifies the absolute or rela tive pa t h to the MW design library. This option can be l eft out if t he variable mw_ design_ library specifies the path to the MW design library . -scenario scenario_ name MW database is capable of storing multiple constraint s that can correspond to various scenarios of running the design. This option specifies the name of the scenario for reading in constraints from MW database. The default is to not use a scenario. CEL_ name Specifies the design filename to be read . F or example, there are design files under the CEL vi ew in the milkyway design library design_ l ib: 'design_ lib/ CEL/designl_pre_route l :l', 'design_lib/ CEL/designl_post_rou t e : 2' etc. The designl_pre_route or designl_post_route are the CEL_name argument. Do not include version n umber in this argument . 24 24 Command: read_milkyway_tech <string:filename> reads milkyway tech files read_milkyway Reads in one l inked design fro m milkyway databa s e. SYNTAX int read_ milkyway [-version version] scenario scenario_ name] CEL_ name string CEL_name [ -netlist_onl y ] [-library design_library] [- option: -rlc_model string -rlc_corner cond(MAX) string scenario_ name string design_ library -routing_dir routeDir(hv) - - get_option arg<l> --set_option .. . - - get_default arg<l> --set_default ... --list_options --load_options ... -- license - - help name for the RLC-mo del (default: MW) read the RLC data of the specified corner cond = MIN I NOM I MAX hv: metall(Hor), metal2(Ver), metal3(Hor), vh: metall(Ver), metal2(Hor), metal3(Ver), routeDir = hv I vh get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS - version version Specifies the version of the design to be read. For exampl e, there are design files under the CEL v i ew in the milkyway design li brary design_lib: 'design_ lib/CEL/designl_pre_ routel:l', 'design_ lib/CEL/ designl_post_route:2' etc. The 1 or 2 after the ' : ' is the version number of the design. The default is to read the most current version. 25 25 -netlist_only Indicates that only the netlist is to be read; constraints are not read. The default is to read both netlist and constraints. -library design_ library Specifies the absolute or rela tive pa t h to the MW design library . This option can be left out if the variable mw_ design_ library specifies the path to the MW design library . -scenario scenario_name MW database is capable of storing multiple cons tra i nts that can correspond to various scenarios of running the design. This option specifies the name of the scenario for reading in constraints from MW database. The default is to not use a scenario. CEL_narne Specifies the design filename t o be read. For example , there are design files under the CEL view in the milkyway design l i brary design_ lib : 'des i g n _ lib/ CEL/designl_pre_r outel :l ' , 'design_l i b/CEL / designl_pos t _rou t e:2 ' etc . The designl_pre_route or designl_post_route are the CEL_name argument. Do not include version number in this argument . 26 26 read_parasitics Reads ne t parasitics i nforma tion from a n SPEF, DSPF, RSPF, or binary parasitics file and uses i t to annota t e the currently l i n ked design . SYNTAX Boo lean read_parasitics [ -format file_ fmt ) (-complete_with completion_ type ) [ -lumped_ cap_ only ) (-pin_cap_ included] (-incremen t) [-path prefix] [-keep_capacit i v e _ coupling] (-coup ling_reduction_factor factor ) [- triplet_ type ttype] [-quiet] [ -syntax_ o nly] (-eco] [ -original_ fi l e _ name file_ name] [ -ilm_context ] (-k eep_variations) [ - create_ default_ variations ) file_ names string string string string string float file_ fmt completion_type path_ name file_ names ofname factor Command: read_parasitics <file_names ... > annotates parasitic information onto the current design option: -format para_format(SPEF) -lumped_cap_only -pin_cap_included -increment -quiet -syntax_only -path string -strip_path string -merge_same_net_coupling -condition -~ --get_option arg<l> --set_option --get_default arg<l> --set_default ... --list_options --l oad_options --license --help read parasitics format para_format = DSPF I SPEF only the total capacitance of nets is annotated the RC networks include the pin capacitances not to discard previously annotated parasitics not to perform report_annotated_parasitics check if the SPF is valid Specifies the path from the current design to the subdesign design strip off the prefix path merge coupled cap from the same net list of parasitic conditions of SPEF that are being loaded get option value set option value get default value set default value list current option values load current option values list required licenses display command help 27 27 ARGUMENTS -format file_ fmt Specifies t h e format of the parasitics file. Allowed val u es are SPEF, DSPF, RSPF a n d SBPF (Synopsys Binary Parasitics Format) . If -format is no t specified, the application can determine whether the fi l e is SPEF, DSPF, RSPF, or a compressed version of those t hree ascii formats . However, to read a file i n SBPF , you mu st specify -format SBPF . -complete_ with completion_ type This option does not apply to the RSPF fo r mat . Indicates tha t a net with partially a n notated paras i tics is t o be compl eted by in ser t ing capa citan ces and resistances according to compl etion_ t ype. Al lowed values are zero, which complet es the net by insertin g zero capacitances a n d resistances; a nd wlrn, which completes the net by i n serti ng capacitances and resistances derived f r om wire load models. This option i s equivalent to reading t he parasitics file a n d then using the command complete_ net_parasitics -complete_ with. Note: complete_ net_p arasitics a n d read_p arasitics -complete_with complete a n et only i f al l missing segmen ts are between two pins and t he n ets are partially a nnotated (nets are n ot affected if they are fully a nnotated or h ave no annotation at a l l) . Also, the n et must be h ierarchical, so that if the parasitics for the block - level parts of a net are mi ssing, those l n cne _ any or are not met, you must correct the SPEF or DSPF file manually . parasl~lCS cou ~ a ex1s~ ~op-~eve~ n e~ . ~L ~nese conal~lons -lumped_ cap_on ly This option does not apply to the SBPF format . I ndicates that only the total capacitance of nets is to be annotated as a lumped capacitance on the annotated nets . The RC networks specified in the parasitics file are discarded . The an notated lumped capac i tan ce is t h e capacitance specified when the n et is declared in the parasitics file. -keep_capacitive_ coupl ing Indicates that the cross capacitors are to be kept in the RC networks d a ta structure . This facilitates the capaciti ve crosstalk analysis, but does not turn it on. This option disables the -coupling_ reduction_ factor op t ion ; the command will fail if both options are specified. All coupling capacitors are split to ground with a factor of 1 . 0 if cross t a l k analysis is not activated . This option appl ies to both the SPEF and the SBPF format . This option requires a PrimeTime SI license . -pin_ cap_ included Indica tes that the RC networks are to include t he pin ca paci t a n ces. By default , the RC network does not include pin capacitan ces. This option does not appl y to the RSPF format . The RC pi model in RSPF format has to always include effect of pin capacitances . -increment Indicates that previously an notated parasitics on the nets listed in the parasitics file are not to be overwritten . Additiona lly , any i n complete annotations in the parasitics f i le are not to b e rejected . By default, the RC annotation specified in the par asit i cs f ile overwrites the p r eviou s parasiti c s annotations of the nets listed in the parasitics file. Use t his option for annotating hierarchical parasitics fi l es. -path prefix Specifies a relat i ve pat h from the current design to the hierarch ical design name for wh ich the par asitics f ile has been created. By default, absolute pathnarnes are used . Use this option if the parasit i cs file refers to a n object (for example, net) i n a hierarchy (for example, bier) . Do not use this option if the parasitics file refers to an absolute path (for example, bier/ net). - coupling_ reducti on_ factor factor This option applies only to the SPEF format and the SBPF format . A positive floati n g point number that specifies the factor to apply whe n redu cing couplin g capacitance s to grounded capacitances . The de f ault value is 1 . 0 . This option is disabled if the -keep_ capacitive_ coupling option is specified. The command will fail if both options are specified. 28 L8 - t riplet_ type ttype This option applies only to the SPEF and PARA formats . Severa l values in SPEF and PARA, such as capacitor and resistor values, can be specified as triplets - min:typ:rnax. By default, PrimeTime takes the max value. Using t his option, the user can sel ect the min or typ value . Allowed valu es are max {the default), typ, and min . - quiet Indicates that the report_ annotated_parasitics report is not to be generated when the parasitics file has been read. By default, after reading the parasitics file, the report_ annotated_parasitics -check command i s executed. This command reports the number of annotated nets, verifies the completeness of annotated RC networks on nets, and checks that no RC elements dangle. It is recommended that you use the -quiet option when reading multiple parasitics files in incremental mode. -syntax_only Indicates that read_parasitics is to parse t he file for syntax errors with out performing any parasitic annotation . Use this option to troubleshoot your parasitics file and avoid generating error messages during the actual annotation . No design is required t o use -syntax_ only_ - ilm_context I ndicates that the annotation is being performed in t he presence of Inteface Logic Models (ILMs) _ An original design parasitics can be used to annotate a design with ILMs using this opt ion. Th i s option does not issue error messages for missing nets, cells and pins. -eco I ndicates that the files being currently annotated are ECO parasi tics from Star - RCXT . PTSI can read ECO parasitics that are written out by Star - RCXT only . The ECO parasitics can be annotated on ly when there are some existing parasitics that are already annotated. ECO parasitic files contain reextracted paras i tics for j ust t he ECO nets and their i mmediate coupling neighbours only and do not contain all the nets of the design. Incremen tal analysis can be performed after reading ECO paras itics. -original_ file_name orig_ file_ name This option can only be used when -eco option is being used. I f the original annotati on is performed via multiple paras i tic files into PTSI, t hen the ECO parasitic file corresponds to one of t he original files (because it corresponds to one extracted database in Star-RCXT) _ PTSI will try to determine the corresponding original file but it is not always possible. You can use this option to specify which original parasitic fi le does the ECO file correspond to. file_names When the format is one of SPEF, DSPF , RSPF and SBPF, it specifies a list of files from which parasitics information is to be read. -keep_ variations I ndicates that the statistical parasitic information are to be kept in the RC networks data structure. This facilitates the variation aware timi ng analysis, but does not t urn it on. This option applies only to SBPF f ormat for now. Also, currently, this option does not work with either - eco option or -increment option . This option requires a PrirneTime VA licen se . -create_default_variations Specifies that default parasitic variations should be created for all the variation parameters . The default variations created are all assumed to be of normal distr ibution. The mean and sigma values are already present i n the parasitic fi le . 29 29 read_sdf Reads lea f c e l l and ne t t imi ng i n f orma t ion f rom a f i l e i n Standard De l ay Forma t (SDF) and uses that information to annotate the current design. Command: read_sdf <String:file_name> reads timing data from an SDF file SYNTAX s t ring read_ sdf [- l oad_d e l ay n et I cel l] [-ana l ys i s _ type single I bc_ wc I on_ chip_ var i at i on] [ - min_ file min_ fname] [-max_ fil e max_fname] [ - path path_ name ] [- t ype sdf_min I sdf_t yp I sdf_rnax] [ - min_ type sdf_ rnin I sdf_ typ I sdf_ rnax] [-max_t ype s d f _ mi n I sdf_ t yp I sdf _max ] [-cond_ use min I max I min_ max ] [-syntax_ only] [-strip_path strip_path_name ] [-quiet ] [ -wo r s t ] file_ name string string string string s t ring path_ name sd f _ f i l e_name min_ sdf_ file_ name max_sdf_fil e_name s trip_pa t h_ name option : -load_delay string not supported yet -analysis_type string not supported yet -min_file string not supported yet -max_file string not supported yet -path string not supported yet - min_type min_max_type(sdf_min) not supported yet min_max_type = sdf_min I sdf_typ I sdf_max - max_type min_max_type(sdf_typ) not supported yet min_max_type = sdf_min I sdf_typ I sdf_max -type min_max_type(sdf_max) not supported yet min_max_type = sdf_min I sdf_typ I sdf_max -cond_use string not supported yet -strip_path string not supported yet -syntax_only not supported yet -quiet not supported yet --get_option arg<l> get option value --set_option set option value --get_default arg <l > get default value --set_default . .. set default value -- list_options list current option values --load_options load current option values --license lis t required licenses --help display command help 30 30 ARGUMENTS -load_ de l ay net I cell Ind i cates whether load delays are i n cluded in net delays o r i n cell delays in t he t iming fi l e being read . The default is cell. The load delay is the portion of cell delay arisin g from the capacitive load of the net dr i ven by t he cel l. - analysis_ type single I bc_ wc I on_ chip_ variation Use this option only if you have not already set an analysis type with set_ operating_ conditions -analysys_ type . If you are in min_max mode, the d efaul t is bc_wc . single indicates that only one operating condition is to be used. Specifying either bc_wc or on_chip_va riat i on switches to min_max mode and cau s es bo th minimum and maximum del ays t o be read fr om the SDF fil e . Delays in SDF are represented in the form of triplets (sdf_min :sdf_ typ : sdf_rnax). By default, the -analysis_ type bc_wc I on_ chip_ variation option reads the sdf_ min and sdf_ maxdelays, respective ly . To change this, use the -min_ type and -max_ type op t ion s . - rnin_file min_sdt_tile_name Use t his option only if the m1n 1mum and maximum delays are i n t wo separa t e SDF fi l es . Specifies the file f rom which min imum delay t i ming information is to be read . The timing file must be in SDF format version vl .O, v2 . 0, v2 .1 or vJ.O. - max_ Eile max_ sdf_ tile_ name Use this option only if the minimum and maximum delays are in two separate SDF fi l es . Specifies the file from which maximum delay timing informa tion is t o be read . The timing f i le must be in SDF format version vl.O, v2.0, v2.1 or vJ.O. -path path_name Specif ies the path from the current design to the subdesign for which the tim i ng file has been crea t ed . -type sdf_rni n I sdf_typ I sdf_max Indicates which of the SDF trip l et de l ay values are to be read from the SDF f i le. Delays i n SDF are represented in the form of triplets (sdf_min : sdf_ typ : sdf_max). By d efault, read_ sdf reads the maximum delays sdf_ max. Note: If you us e -type while in min / max mode (for example, i f you use operating_ conditions bc_ bw I on_ chip_ variation ), a single value is anno t ated onto both min and max values of an arc. - min_type sdf_mi n I sdf_typ I sdf_max Specifies which of the SDF triplet del ay values are to be read f rom the SDF file for minimum del ay. Delays in SDF are represented in t he form of triplets (sdf_mi n : sdf_typ : sd f _max). By d efaul t , read_ sdf reads t he minimum delays sdf_min . Use this option only with option -analysis_type bc_wc I on_ chip_variation . - max_type sdf_mi n I sdf_typ I sdf_max Specif ies which of the SDF t riplet delay values are to be read from the SDF file for maximum delay. Delays in SDF are represented in the form of triplets (sdf_min:sdf_typ : sd f _max) . By defau l t, read_ sdf reads t he maximum delays sdf_max. Use this option only with option - analysis_type bc_wc I on_ chip_variation . 31 31 - min_type sdf_min I sdf_typ I sdf_max Specifies which of the SDF triplet delay values are to be read from the SDF file for minimum delay. Delays in SDF are represented in the form of triplets (sdf_ min : sdf_ typ : sd f_max). By defau l t , read_ sdf reads the minimum d elays sdt_ min . Use this option only with option -analysis _ type bc_ wc I on_ chip_ variation. -max_type sdf_min I sdf_typ I sdf_max Specifies which o f the SDF triplet delay values are to be read from t he SDF file for maximum delay . Delays in SDF are represented in the form of triplets (sdf_min:sdf_typ:sdf_max). By defaul t, read_ sdf reads the maximum delays sdt_max . Use this option only with option -analysis _ type bc_ wc on_ chip_ variation. I -cond use min I max I min_max Use this option only if the SDF f ile i ncludes some conditional delays using the SDF construct COND, and if the Synopsys l ibrary i n us e does not specify conditional delays. min indi ca tes that t he minimum o f all condit i ona l de l ays is to be u sed to annotate the corresponding timing arc. max indicates to use the maximum; min_max indicates min_max operating conditions; the minimum of all conditional delays is to be used f o r the minimum operating condition , and the max imum of all conditional delays is to be used for the maximum operating condition, You cannot use min_maxwith a single operating condition ; you must be in min_max mode . - syntax_only Indicates tha t no timing annota t ion is to be performed; syntax only is to be processed. Use this option to verify that your SDF syntax is correct and will not issue any error messages. - strip_path strip_path_name Specifies a prefix path that is to be stripped from all SDF objects. Such a prefix path is usually a result of generating an SDF file for a subdesign, and using thi s subdesign as t he current design. -quie t Use this op t ion to skip execution of report _ annotated_ delay and report_ annotated_ check after reading SDF. - worst Indicates that read_sdf is to annotate t he current design only wi th delays worse than the current annotated delays; applies to annotated net and cel l delays and annotated timing checks . The worst delay is defined as the most pessimistic delay . This means primetime annotates the min of minima, and max of maxima values. sdf_file_ name Specifies the file from which tim ing information is to be read. The t iming fi l e must be in SDF fo rmat ver sion vl.O, v2.0, v2 .1 or v3.0. 32 32 read_veri log Reads i n one or more Ver i log files . SYNTAX s tr i n g read_ verilog [ -hd l _ cornpiler] fi l e_ n ames l ist fi l e_names Command: read_verilog <string:filenames> reads Verilog files for linking option: -no_check --get_option arg<l> --set_option --get_default arg<l> --set_default --list_options --load_options -- license --he l p do not perform additional syntax/semantic checking get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS - hdl_ compiler Indicates that the Verilog files are to be read using the PrimeTime external reader (p txr) that uses HDL Compiler. Reading files in this way requires an HDL Compiler license whi l e the read i s in progress. HDL Compiler suppo rts the comp le t e Verilog language, but uses more CPU and memory than d oes the native PrimeTime Verilog reader. f ile_names Specifies names of one or more f iles to be read. 33 33 redirect [-append] [-tee] [-file] [-variable] target command_string #Redirect output of a command to a file (Append output to the file) (Tee output to the current output stream) (Output to a file (default)) (Output to a variable) Command: redirect <string:file> <*:command> redirect output to a file option: -append --get_option arg<l> --set_option --get_default arg<l> --set_default ... --list_options --load_options --license --help append output to file get option value set option value get default value set default value list current option values load current option values list required licenses display command help (Name of file/variable target for redirect) (Command to redirect. Should be in braces{}.) 34 34 remove_annotated_delay Command: remove_annotated_delay [* :object_list] Removes annotated d elays from the design, either o n spec i fic cells or nets, between spec ific pins, or all a nnotated delays i n the design. SYNTAX str i ng remove_annotated_delay [ - all ] [ - f rom from_list] [ - to to_list ] [ object_ spec ] lis t lis t list from_ list to_ list object_ sp ec option: -from * -to '~ -all --get_option arg<l> --set_option --get_default arg<l> --set_default --list_options --load_options --license --help from pin or port to pin or port remove all get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS - all Indicates that all a nnotated delays in the des ign are to be r e moved. Thi s option is exclusive of the -from , -to , and obj ect_ spec options. -from from_l i st Specifies a l ist of pin s or ports that a r e the startpoints of the timing arcs for wh i ch anno tated delays are to be removed . You canno t c ombi n e this option with obje c t _ spec. -to to_ list Specifies a list of pins or ports that a r e the e n dpoints of the timi ng arcs fo r which annotated d elays are to be removed . You canno t combin e th i s option with objec t _ spec . object_sp ec Specifies a list of leaf cel ls or nets for which all annota ted delays are to be removed. You cannot combine t h is option with -from and -to . 35 35 remove_annotated_transition Remove s p r evi ous l y-annotated transi tion times from p i ns or por t s i n the curren t design . SYNTAX i n t remove_ annotated_ transition -all I pin_list list pin_li st Command: remove_annotated_transition remove_annotated_transition <*:pin_list> option: -all --get_option arg<l> --set_option ... --get_default arg<l> --set_default ... --list_options --load_options ... --license --help remove all annotated pin transitions get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS -all Indicates that all annotated transi ti on times in the design are to be removed. -all and pin_list are mutual l y exclusive ; you must use one of these , bu t no t both. pin~ list Specif ies a list of pins or po rts from which ann otated transition times are to be r emoved . -all and p in_ lis t are mutually exc lusive; you must use one of these, but not both. 36 36 remove_ capacitance I Command: remove_capaci tance <''': net_or _port_l i St> Remove user-specified net capacitance. Removes cap ac itance on n ets o r po rt s. SYNTAX stri ng remove_ capacitance net_ or_port_ lis l ist net_ or_por t _ list I option: --license --help list required licenses display command help ARGUMENTS net_or_port_ list Specifies a list of po rt s a nd n ets i n the cur ren t des i gn, whose capa c i tances are removed . 37 37 remove_case_analysis Removes the case analysis val u e on inpu t . SYNTAX st r ing remove_ case_ analysis port_ or_pin_ list li s t port_ or_pin_ list Command: remove_case_analysis <*:object_list> Remove user-specified case analysis. option: --license --help list required licenses dis play command help ARGUMENTS port_or_pin_l ist Lists ports or pins f o r which the case analysis entry is to be removed. 38 38 remove_ clock Removes one or more clocks from the c u r rent design. Command: remove_clock remove_clock <*:clock_list> Remove clocks in the design. SYNTAX option: string remove_ clock - all l ist clock_ list I -all clock_ list --get_option arg<l> --set_option ... --get_default arg<l> --set_default ... --list_options --load_options ... --license --help remove all clocks get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS - all Specifies to remove all clocks in the current design. clock_ li s t Specifies a list of collections containing cloc k s or patterns matching the clock names. 39 39 remove_ clock_ latency Removes clock latency in f ormati on f rom specified objects . SYNTAX st r i ng remove_clock_ latency [ - s ourc e ] [ -clock clock_ li s t] objec t _lis t list list clock_lis t objec t _li st Command: remove_clock_latency <*:object_list> standard SDC command option: -source -offset --get_option arg<l> --set_option --get_default arg<l> --set_default --list_options --load_options --license --help remove source latency remove latency offset get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS -source Specifies that c l ock source laten cy shoul d be removed . -clock clock_list Removes a n y network latency defi ned on the pi n /po r t objects i n obj ec t_l ist which refers the clocks in c l ock_list from the design . If the -clock option is supplied when object_ list r efers to clock objects , a wa rni n g is issued that the option is not r elevant in this case and e x ecution of the command proceeds as i f - clock was not given. This opt i on does not remove a more general latency setting witho u t a n y specific clock . obj e ct_list Provides a list of clocks, por t s, or pins. 40 40 remove_driving_cell Removes port d riv i ng cel l i n f ormation. SYNTAX s t ring remove_ driving_ cell [-rise ] [- fall ] [-min ] [ -max] [- clock clock_ name ] [ - c l ock_fall] port_ list stringclock_name list port_list Command: remove_driving_cell <*:port_list> Remove driving cell constraints in the design. option: -rise -fall -min -max -clock_fall -clock * --get_option arg<l> --set_option ... --get_default arg<l> --set_default ... --list_options --load_options . .. --license --help not supported yet not supported yet not supported yet not supported yet not supported yet not supported yet get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS - rise Removes rise driving cell infor mation. - fall Removes fal l driving cell information. -min Removes min driving cell informa t ion. -max Removes max driving cell informa t ion. - clock clock_name Removes t he d riving c el l set relative to the specified c l ock. -clock_fall Removes the d riving cell re l ative t o the fal l ing edge of the clock. The default is the rising edge. port_list Provi des a list of i npu t or output ports . 41 41 remove_from_collection Removes objects from a co ll ection, result i ng in a n ew collec ti on . The base col lect ion remains unchan ged. SYNTAX co llec t ion remove_from_ collection base_coll ection xlcollec t ionbase_ collection list object_sp ec Command: remove_from_collection <* :collection> <string:obj_spec> remove objects from a col l ect ion option: --license - - hel p list req uired licenses display command help ARGUMENTS base_ col lection Specifies the base collection to be copied to the resu lt collection . Objects matching object_spec are removed from the result collection. objec t _spec Specifies a list of named objects or collections to remove. Tl1e object class of each element in t his l ist must be the same as i n the base collection . If the name matches an existing collection, the collection is u sed . Otherwise, the objects are searched f or i n the database using the ob j ect c l ass of the b ase collection. 42 42 remove_input_delay Removes i npu t delay informa tion fr om ports or p i n s. SYNTAX s tr ing remove_ input_delay [- clock clock_ name ] [-clock_ fa l l ] [- level_ sen sitive] [rise] [-f a ll] [-max ] [-min] port_pin_ list l i st clock_name l i st port_pin_list Command: remove_input_delay <* :port_pin_l ist> Remove input delay on the ports or pins. option: -clock * - clock_fall -level_sensitive -rise -fal l -min -max --get_option arg<l> --set_option ... --get_default arg<l> --set_default . .. --list_options --load_options ... --license --help not supported yet not supported yet not supported yet not supported yet not supported yet not supported yet not supported yet get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS -clock clock_name Rela ti ve clock; '' fo r n o clock. Use this option to r emove only input delay relative to one clock . - clock_ fall Delay is relative to fa lli ng edge of c l ock . -l evel_sensitive Delay is from level - sensitive la t ch. -rise Removes rising input delay. - fall Removes f al ling i nput de lay . - max Removes maximum i npu t delay. -min Removes mi n imum i nput delay . port_pin_ list Specifies a list of ports and pins. 43 43 remove lib Command: remove_lib [*:object_list] remove library if it's not used Removes one or more l ibraries f rom memory. SYNTAX string remove_ lib -a ll libraries l ist libraries option: -all --get_option arg<l> --set_option --get_default arg<l> --set_default ... --list_options --load_options --license --help remove all library get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS - al l Removes all libraries. l ibraries Provides a lis t of l ibraries to remove. 44 44 remove_output_delay Command: remove_output_delay <*:port_pin_list> Remove output delay on the ports or pins. Removes output d elay from outpu t por ts o r pin s . SYNTAX s tr i ng remove_output_delay [ - cloc k clock_ name ] [ - c lock_ fa l l ] [ - level_ sensi t i ve ] [ -r i se] [ -fall ] [-max ] [ - mi n ] port_pi n_ list s t r i n gcl ock_name l ist p ort_pin_ l i st option: -clock * -clock_fall -level_sensitive -rise -fall -min -max --get_option arg<l> --set_option --get_default arg<l> --set_default ... --list_options --load_options --license --help not supported yet not supported yet not supported yet not supported yet not supported yet not supported yet not supported yet get option value set option value get default value set default value list current option values load current option values list required licenses display command help 45 45 ARGUMENTS - clock clock_name Rela t ive clock; ('"} for input delay re lative to no clock. - clock_ fall Removes the delay relat i ve to fal lin g edge o f clock. IE you specify clock_name without -clock_ fall , the delay relative to rising edge of the clock i s removed. -level sens~tive Removes l evel-sens it ive output de lay. - rise Removes rising output de l ay. - fall Removes fa lling output delay. -max Removes maximum output del ay . -min Removes minimum output delay. port_pin_ list Specifies a list of ports and p i ns . Each element in the list is either a co l lect ion of ports or pins, or a pattern which matches ports or pins on the cur ren t design. 46 46 remove_ propagated_clock Command: remove_propagated_clock <*:object_list> Remove clock propagated attribute on the objects. Removes a p r opagated clock specifi cat i on . SYNTAX string remove_propagated_ clock object_ list l is t object_ list option: --license --help list required licenses display command help ARGUMENTS o b jec t _ l i st Li sts c locks 1 ports 1 o r p ins . 47 47 remove user attribute Remov es a user attri b ute from an ob jec t . SYNTAX string remove_ user_ attribute [ -quiet) stringclass_name list object_ spec stringattr_ name [ -c l ass class_name) object_spec attr_name Command: remove_user_attribute <*:object_or_collection> <string:attr_name> remove user defined attribute from an object option: -class string -quiet --get_option arg<l> --set_option --get_default arg<l> --set_default --list_options - -load_options --license --hel p class name of object not supported yet get option value set option value get default value set default value list current option values load current option values list req uired l i censes display command help ARGUMENTS -quiet Does not r e port any messages. -cla ss class_ name If object_ spec i s a name, this is i t s cla ss . Allowa b l e va l ues are design, por t, cel l , p i n, n e t, l ib , li b_c e l l , o r lib_p i n. obj ec t _ spec Sh ows objects from whi ch to remove the attribute. Each element in the l ist is either a co l lection or a pattern whic h combi nes with the c l ass_ name to find t he objec t s. attr_narne Provides the n ame of the attribute. 48 48 report_attribute Command: report_attribute <*:object_or_collection> report attributes of each object in the collection Reports the attribute s on one o r mo r e ob j ects . SYNTAX string report_ attribute [ - class class_name] str ing class_ name l ist object_ spec [- nospli t) [- appl i cation) object_spec option: -class string -application -nosplit --get_option arg<l> --set_option --get_default arg<l> --set_default --list_options --load_options --license --help class name of object report application attributes too not supported yet get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS -class class_name If objec t _spec is a name, this is i ts c l ass . Allowa b l e va l ues are design, port, cell, pin, net, lib, lib_cell , or lib_pin . - no spli t Does not split l i nes if column overflows. -application Li sts appl i cation attribut es as well as user-defined attributes . object_spec Li s t of objec t s t o repor t . Each element in t he list is e i ther a col l ection or a pattern which combines with the class_ name to fi nd the objects. 49 49 report_clock Command: report_clock [*:clock_list] Report clock information. Repo r t s cl ock-related i nfo r mation. SYNTAX string report_cloc [-a t tribu t es] [-skew] [-groups] [-nospli t ] [ clock_names] list clock_names option: -attributes -skew -nosplit --get_option arg<l> --set_option --get_default arg<l> --set_default - -list_options --load_options --license --help report clock attributes report clock latency and uncertainty prevents line splitting if column overflows get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS - attributes Shows c l ock attribu tes and provide s a list o f all th e c l ock s in t he curren t de sign. The in formatio n for each clock i n c l udes sou rce type, signa l r i se and fa ll ti mes, a n d attr i b u t es. This report is shown by defa u l t. - skew Repor ts c lock l a t e ncy (so urc e and ne t work latency) a nd uncerta inty in fo rma tio n set o n the des ign by the set_ clock_ latency and set_ clock_ uncer tainty co~~a nds, respective l y. Clock netwo r k latency info r matio n includes rise latency and fall latency. Clock source laten cy informa t ion in c l udes r i se l a t ency and fa l l la tency for ea r ly and l a t e ar r ivals . Cl ock uncertai n ty i nformati o n includes in t racl o ck setup or hold u nce r tainty a n d i nte r c l ock setup or hold unce r tainty. This option al so reports a ny f ixed clock tran s i t ion set by u si n g the set clock_ transit i on command. Th i s option on l y reports ac t ive clocks. 50 50 -g r oup s Shows the cur r ent setting of c l ock groups, i n c luding the list of active clocks in the c ur rent analysis scope and g rouping of exclu sive clocks and asynchronous c locks set by us i ng the set_ clock_ groups command . -nosplit. Specifies not t o spli t li nes if a colWTln overElov1s . t1ost of the design information is listed in fi xed- o;idth c o l WTlDs . If the i n forma t ion for a given fie ld exceeds the colWTlD width, the next f ield begins on a new line, starting in the correct col umn. This op t ion p r event s line- spli tt ing a nd fa c ilita tes writing software to extract i nfo rmation from the r eport outpu t. clock_ names Lists the clocks that must be reported . Substit u te the list you want f or cl ock_names . 51 51 report_constraint Command: report_constraint Report timing violation in current design. Displays constrain t - related in f ormation abou t a design. SYNTAX int report_ constraint [ -al l _ violators ] [-verbose] [ -path_ type format ] [-rnax_ delay] [ -rnin_ de l ay ] [-max_ capacitance] [ -min_ capacitance ] [-rnax_ transition ] [-rnin_ transition ] [ -max_ f anout ] [ -rn in_ fanout ] [- rnin_pulse_ width] [ - rnin_period] [-recovery ] [-removal] [ - max_ skew ] [ -clock_ gat ing_ setup ] [-cloc k_ gating_ ho ld] [ -c l ock_ separation ] [-connection_ c l ass ] [ -ignore_ register_ feedback feedback_ slack_ cutoff ] [-significant_ d i g i ts digits ] [ -nosp l it ] string format int digits float slack_ cutoff float feedback slack_ c u toff option: -all _violators report all violators report DRV on specified pins only -pins '" -verbose show more details -reason show reason optimization skips -path_type path_type(slack_only) format of path report path_type = end I slack_only -max_delay only display max delay and setup constraints -min_delay only display min delay and hold constraints -max_capacitance only display max capacitance constraints -min_capacitance only display min capacitance constraints -max_transition only display max transition constraints -min_transition only display min transition constraints -max_ fanout only display max fanout load constraints -min_fanout only display min fanout load constraints -max_fanout_count only display max fanout count constraints -delay_noise only display delay noise violations -min_pulse_width only display min pulse width constraints -min_period not supported yet -recovery not supported yet 52 52 not supported yet -removal -max_skew not supported yet -clock_gating_setup not supported yet -clock_gating_hold not supported yet -clock_separation not supported yet -include_clock_net check DRV on clock nets -remove_clock_reconvergence_pessimism double(O.O) check only slack less than slack_cutoff for common path pessimism -ignore_register_feedback double(O.O) ignore path starts and ends at the same registor -significant_digits integer(3) number of digits after decimal point prevents line splitting if column -nosplit overflows -html HTML format -summary timing summary information -noenvironment don't report environment variable values -scenario string specify working scenario --get_option arg<l> get option value --set_option ... set option value --get_default arg<l> get default value --set_default .. . set default value --list_options list current option values --load_options load current option values --license list required licenses display command help --help ARGUMENTS -all_violators Indicates that a summary is to be displayed showing the wor st violation per endpoint of each violated design rule constra i nt in the current design. The -verbose option p r ovides detailed information on each constraint viola tion . Multiple violations for a given constraint are listed from the greatest to the least violator. -verbose Indicates that more detail is to be shown about constraint calculations. 53 53 -path_ type format Specifies the format for the path report . Allowed values are slack_ only (the default), and end. Th is option has an effect only if the -verbose option is not used . If slack_ only is specified, the report displays only endpoint slacks. If end is specified, the report has a co lumn format that shows one line for each path, with only the endpoint path total, required-time, and slack. -max_ delay Indicates that on ly rnax_delay and setup information is t o be displayed. The default c o nstraint report displays al l timing and design rule constraints . -min_delay Indicates tha t only min_delay and hold i nformation is to be displayed . The default constraint report displays a l l timing and design rule constraints . -max_ capacitance Indicates tha t only max_ capacitan ce constraint information is to be displayed. - max_ capacitance is a design rule used to limit total capacitance on a net. The -max_ capacitance option displays the max_capacitance cost (the sum of all rnax_capacitance violations). To see details about the worst viol ator, use the -verbose option in addition to the -max_ capacitance option. To see details about a ll max_capacitance violations, use the -all _violators and -verbose options in addition to the -max_ capacitance option. The default constrain t report displays a l l timing and design rule constrain ts . -min_ capacitance Indi cates tha t only min_ capac i tance constraint information is to be displayed. The -min_ capacitance option is a design rule used to limit total capacitance on a net. The default constraint report displays al l timing and des i gn rule constraint s. -max_transiti on Indi cates that only rnax_ transition constraint information is to be displayed . - max_ transition is a design rule used to limit transition time on a ports and pins. The default constraint report displays all timi ng and design ru l e constrain ts . If the library uses the cmos2 delay model, rnax_ edge_ rate information is shown instead. -min_ transit i on I ndicates that onlyrnin_ transition constraint informa ti on is to be displayed . -min_ transition is a design rule used to set a minimum transi tion time on a ports and p ins. The default constraint repor t displays all t iming and design rule constraints . If the library uses the cmos2 delay model, max_ edge_ rate information is shown instead . -max_ fanout Indicates t hat only max_ fanout constraint information is to be displayed. max_ fanout is a design rule used to limit fanout_ load on a net. The default constraint report displays al l timing and design rule constraints. -min_ fanout Indi cates t hat only min_ fanout constraint information is to be displayed. min_ fanout is a design rule used to set a minimum fanout _ load on a net . The default constraint report displays a ll timing and design rule constraints. -min_pulse_width Indicates that only min_pulse_ width constraint information is to be displayed. -min_pulse_ width is a design rule used to set a minimum pulse width high or low at a clock pin or at pins in the clock ne twork . The default constraint report displays all timing and design rule constraints. - min_period Indicates that only min_period constraint information is to be displayed . min_period is a design rule used to set a minimum period on a clock signal. The default constraint report displays all timing and design rule constraints . 54 54 -recovery I ndica tes that only recovery constraint i n formation is to be displayed . recovery is a timi ng constraint used to describe the minimum al l owable time between t he c o ntrol pin transition to the inactive state, and t he active edge of t he synchronous c l ock sign al . This time is from the con trol signal going inact i ve to the clock edge t hat latches data in . The asynch r onou s control s i gna l must remain constant during th i s time, or an incorrect value may appear at the outpu ts . The default con straint report displays all timing and desi g n rule constraints . -removal Indicates that onl y remova l constrai nt i nformat i on i s to be d i splayed. removal is a timing constraint u sed to describe t h e minimum allowable time between the c l ock p i n inact i ve edge , while the asynchrono us p in is active, to the inactive edge o f t he same asynchronous control p in. The default cons tra in t repor t d i splays all timing and d es i gn r u le con strain ts . -max_ skew Indicates that onl y max_ skew constraint information is to be disp l ayed . max_ skew is a timing constraint that c hecks t h e maximum s e parat i on time a l lowed between two c l ock sign als . The defau lt constraint report displays all timing and design rule constraint. -cl ock_ gating_ setup Indi ca t es that onl y c l ock_gating_ setup constraint information is to be displayed. -clock_ gating_ setup is a timing constraint use d to s e t a minimum setup time between a clock and a signal control l i n g the gati n g of that c l ock . The de fa u lt c o nstraint report displays al l timing and des i gn rule cons t raints . - clock_ gating_ ho ld Indi cates that onl y clock_ gati ng_ hold constrain t in fo rmati on displayed . -clock_ gating_ hold is a timing cons tra in t u sed to hold time between a clock and a signal con trol l ing t h e gating The de fa u l t co n strain t report displays all timing and d esign cons train ts . is to b e set a minimum of that c l ock . r ule -clock_separa ti on Indicates that onl y c l ock_sepa r ati on constraint information is to be displayed. - clock_ separation is a timing constraint that checks the minimum separati on time allowed between two c l ock s i gna l s. The default constraint report displays all timing and design rule constraint . -connection_ class Indicates to disp lay onl y connection_ class constraint information . The connection_class constrain t is displayed o nly if t h ere is a connec tion_ class v i o la tion. -ignore_ regi s te r _ fee dback f eedback_ slack_ cuto ff Indicates to ign ore any timi ng p at h t hat start s a n d ends at t he same reg ister and holds a val ue. Thi s opt i on appl ies to min delay as well as max de lay reports . On ly pa t hs with slack less t h an t he specified feedback_ slack_ cutoff a r e i gnored . This option is applied as a fi lter to the paths after t h ey are generated . Therefore, the number of paths generated may be less than the number specified with t he -nworst and -max_paths options . -s i gnificant_ d i g it s digits2 Specifies t he number o f reported digits t o t h e righ t of the decimal point . Allowed values are 0-13; the d efaul t is determined by t he report_ default _ significant_ digits variable, whos e d efault val ue is 2 . Use this option if you want to override t he default . -nosplit Mos t of t he design in format i on i s l i sted in fixed-width columns . If the information for a given fiel d exceeds the width of t he column, the next fie l d begins on a new line, starting in t h e correct column. The -nosplit option prevents line splitting and f acilitates writing so f tware to extract informa t ion fr om t he report output. 55 -ss report_delay_calculation Displays t he actua l ca l cul at i on o f a cell or net t iming arc de l ay value. SYNTAX int report_ delay_ calculation ( - min I - max] [- from_ rise_ transition val u e ] [-from_f a l l _t rans i tion value ] - f r om from__pin -to to__pin I -o f_ob j ects objects (-nosplit ] ( - thresholds] [ -crosstalk ] from__pin s tring string to__pin float value collectionobjects Command: report_delay_calculation Report intermediate results of delay calculation option: -min -max -nosplit reports mininum delay calculation reports maximum delay calculation prevents line splitting if column overflows -thresholds reports the characterization thresholds -from_rise_transition double(O.O) specifies the value to be used for the from rise transition - from_fall_transition double(O.O) specifies the value to be used for the from fall transition reports the crosstalk information - crosstalk the start point of a timing arc -from '" within the design the end point of a timing arc -to '" within the design a col l ection of timing arcs -of_objects "' --get_option arg<l> get option value --set_option set option value -- get_default arg<l> get default value --set_default set default value --list_options list current option values --load_options load current option values --license list required licenses --help display command help 56 56 ARGUMENTS -min Indicates tha t minimum de lay calculation is to be shown. The design must be in min/max mode . - max Indica t es that maximum delay calculation is to be shown . Th is is the defau lt i f neither -min nor -max is speci f ied. - from_ rise trans ition value Specifies a value to be used by the delay calculation for the from rise transi t ion. - from_ fall _ transit ion value Specifies a value to be used by the delay calculation for the from fall transition. - f rom from_pi n - to to_pin Specifies the start and end points of a t iming arc within a des i gn. For a cell timing arc, the pins must represent the i npu t and output pins of a common leaf cell, which have a timing arc speci f ied between them i n the library. For a net t i ming arc, the pins must be a driver and a load on a common net. Port names are allowed in p l ace of pin n ames for net arcs . You mus t use either the -from/-to combination or the -of_objects argument, but not both. -of_ obj ects objects Specifies a collection of ti ming arcs (created with the get_timing_ arcs command) on which to rep o rt. Arcs in the l ist are reported in order of from and to pins. You must use either the -from/-to combination or the -of_ objects argument, but not both. -nosplit Prevents line-splitti ng and facilitates writing software to extract information from the report output . Most of the design information is listed in fixed-wid t h columns . If the information i n a given field exceeds t he column width, the nex t f i e l d begins on a new line, starting in the correct column. -thresholds Reports t h e characteri za t ion t hr esholds that a r e used for delay calculation . - c r oss t alk Reports the crosstalk information for a net arc. The arc is specified by from_pin and -to_pin. It is not permitted wi th -of_objects and user choosen t ransition time -from_ r i se_transition and -from_fall_transition. The crosstalk information is reported from the last update_ timing. 57 57 report_disable_timing Command: report_disable_timing Report disabl ed timing arc information. Reports disab led timin g arcs i n t he c u r r ent d e si gn. SYNTAX st r i n g report_ disable_ timing [ -nosp li t ] [ cel l s_ or_ports] co ll ec ti on cel ls_ or_ports option: -nosplit --get_option arg<l> --set_option ... --get_default arg< l > --set_default .. . --list_options --load_options ... --license -- hel p prevents line splitting if column overflows get option value set option value get default value set default value list current option values load current option values list req uired licenses display command help ARGUMENTS -nosp l it Prevents line splitting and facilitates writing software to extract informati on from th e report output. If you do not u se this opti on, mos t of the design i n f ormat i on is l isted in fixed-w i dth col umns. I f the i nformation for a g i ven f i eld exceeds the column width, t he next field begi ns on a new l i ne star t ing in t he correct co l umn. cells_or_ports Limits disabled arc reporting to the specified list of cel l s or ports. Prov ide the l is t or collection of cel ls or ports as an a r gumen t to the comma n d. 58 58 report_min_pulse_width Displays minimum pulse width check information about specified pins or ports. SYNTAX in t report_min_pulse_width [ - all_ violators ] [ -significant_digits digits] [ -nosplit ] [ -path_type format] [ - i n put_pins] [port__pin_list] list port__pin_ list Command: report_min_pulse_width [* :port_pin_list] Report minimum pulse width check information in current design. option: not supported yet -verbose -nosplit not supported yet -significant_digits integer(3) not supported yet --get_option arg<l> get option value --set_option ... set option value --get_default arg<l> get default value --set_default ... set default value --list_options list current option values --load_options ... load current option values --license list required licenses --help display command help ARGUMENTS -al l _ violators Indicates that onl y vio l ating minimum p uls e width checks are to be r eport ed. - sign ificant_digits digits Specifies the number of reported digits to t he right of the decimal point . Allowed values are 0 -1 3; the default is determined by the report_ default_ significant_ digits variable, whose default value is 2. Use this option i f you want to override the defau l t . -nosplit Mos t of the design information is listed in fixed - width columns . If the information for a given field exceeds the width of the column, the n e xt field begins on a new line, start i ng in the correct column . -nosplit prevents line spli tt ing and facilita t es wri t ing so ftware t o ex tract information from t he report output . 59 59 -path_ type format Specifies the forma t of the path report and how the clock path is displayed. Al lowed values are: summary (the default), which generates a report with a column format that shows one line for each path and shows only the required pulse width, actual pulse wid t h and slack; short, which d i sp l ays only start and end poin t s i n the clock path; full_clock, which displays full clock paths; and fu ll_ clock_expanded, wh i ch displays ful l c l ock paths including all master clocks of a genera ted clock. - inpu t _p i ns Indica te s that input pins are to be shown in the path report. The default isto show on l y output p i ns. port_pin_ lis t Specifies a list of pi ns or ports to report. By default, the report contains al l pins and ports in the current design . 60 60 report_noise Command: report_noise report functional noise slack Reports noi se analysis informat ion. SYNTAX i nt report_ noise [- above] [-be low] [-l ow] [-high] [ - nworst_pins pin_ count ] [-signi ficant_di g its digits] [ - slack_ type slack_ type ] [- s lack_lesse r _than slack_limit] [-a l l _v iolat o r s] [- data_pins] [ -clock_pins ] [ -async_pins] [- verbose] [ - nosplit] [ object_ list] list option: -violation_only -threshold double(O.O) --get_option arg<l> --set_option --get_default arg<l> --set_default --list_options --load_options --license --help noise violation only reporting threshold get option value set option value get default value set default value list current option values load current option values list required licenses display command help object_list ARGUMENTS - above Performs the reporting on ly above the rails. I f th is opti o n is combined with -low , it repor ts for t he nois e bumps above the low ra il . I f it is combined with -high , it reports the n o ise bumps above the high rail. Otherwise, it reports t he no ise bumps above the high rail and above the low r a i l . - below Performs the reporting only below t he rails. If this op t ion is combined wi t h -low , it reports for t he noise bumps below the low ra il . I f i t is combined with -high , it reports t he noise bumps below the high rail. Otherwise, it reports the noise bump s below the high rail and below the low rail . 61 61 -low Perfo rms the reporting only f or the low r ail . If this option is combined with -above , it reports the noise bumps above the low rail. If it is combined with -below , it reports the noise bumps below the low rail . Otherwise, it reports the n oise bumps for both be low and above the low rai l . -high Performs the repo rting only for the high rail. I f th i s option is combined with -above , it reports t he noise bumps above the high rail. If it is combined with -below, it reports the n oise bumps below the high rail . Otherwise, it reports th e noise bumps for both below and above the high rail. -nworst_pins pin_ count Speci fies the number o f load pins to be reported . Any number greater than 1 is accepted; the default value i s 1 . - significant_d igits digits Specifies the number of digits after the decimal point to be displayed for t i me values in the genera t ed repo r t . Allowed values are 0-13; t he default i s determined by the report_ default_ significant_digits variable, whose default value is 2 . Use this option if you wan t to override the default . This option controls only the number of digits disp l ayed, not t h e prec ision used internally for analys i s. For ana l ysis, PrimeTime us es the full p r ecision of the platform ' s f i xed -precision, floati ng-point arithmetic capability. -slack_ type slack_ type Specifies the type of slack to be used . Valid values are area, height, and area_percent. A slack_type of area reports slack as the voltage ma r gin multiplied b y the n oise bump width. The voltage marg in is defined by the n oise bump height and noise immunity curves or DC noise margin. This setting is the default . A slack_ type of height repor t s noi se slack as the voltage margi n. A slack_ type of area_percen t reports noise slack as the percentage of the n oise constraint area . The no ise constrain t area is computed by multiplying the noise height constrain t by the noise bump width . -slack lesser_ than slack_limit Indi cat es t hat onl y t h ose pins wi th a slack less (more negative) t han slack_ limit are to be shown . -all_vio l ators Ind icates t hat on ly violating pin s {nega t ive slack) are to be shown. This option cannot be used with the -slack_ lesser_than option. I f this option i~ u sed with the -nworat_pins option, the number of violating pins will be l imited by that value. - data_pins Indicat es that the report ing is done only on pins that are data p ins of sequential ce l ls . The effect is simila r to preselec t the data pins using a l l_registers -data_pi ns and pass the resu l ting col l ection t o the repo rt_noise command. -clock_p i n s Indicates t ha t the repor ting is don e on ly on p i ns t h at are clock pins of sequential cells . The ef fect is similar t o preselect the clock pins usi n g all_registers - clock_pins and p ass the resulting collection to t h e report_noise command . -async_pins Indica t es t hat th e reporting is done onl y on the asynchronous p ins of sequen t ial cells. The effect is similar to preselect t he asynch r onous pins using all_registers - async_pins and pass the resulting co l lection to the report_noise command. 62 62 - verbose Shows more detai l s abou t t he calculation of t otal noise on each load pin, inc l uding the individual contribution of each aggressor as well as noise bumps propagated from previous stages of the design. -nosplit I f t he informa t ion in a given f ield exceeds t he column wid t h , t he n ext field begi ns on a new li ne, starting in the correct column. The -nosplit option prevents l ine - spli tting and facilita t es wri t ing software t o extract information fr om t he report output. ob j ec t _ list Specifies the load pins for which the noise reporting i s performed. If no pin is specified, reporting is performed on t he entire design . 63 63 report_port Command: report_port [*:port_list] Report boundary port related timing information. Di sp l ays port i n f ormation within the design . SYNTAX string report_port [-verbose] [ - desi gn_rule] [ - dr i ve] [ - input _ delay ] [ - output_ delay] [ - wire_ l oad ] [ - nospli t] [port_ names ] l is t option: - verbose -design_rule -drive -input_delay -output_delay -wire_ load -nosplit port_ names --get_option arg<l> --set_option --get_default arg<l> --set_default ... --list_options --load_options --license --hel p report all port information report maxCap, manload, and max Fanout report on input and inout ports only report input delay report output delay report port wire load prevents line splitting if column overflows get option value set option value get default value set default value list current option values load current option values list required licenses display command help 64 64 ARGUMENTS -verbose Indicates tha t the po r t r eport i n cl udes a l l port informa t i on. By de fa u lt , on ly a summary sec tion is displayed that lis t s al l ports and their direct ion . -desi gn_rule Reports on l y port design r ule informat ion , including maxCap, manLoad, a nd maxFano u t . -drive Reports only drive resis t ance, i nput transition time , and driving cell in fo r mation fo r only i npu t a nd i n ou c po r ts . - input_ del ay Repor t s on l y the po r t i np ut delay informa t ion you set . -outp ut_ de lay Reports only the port output delay i nform io n you set . at -wire_load Repor ts on l y the por t wire load i n fo r mation . - n osplit Preven ts li ne sp li tti ng if co l umn overflows . Most design informa tion is listed i n fix e d - width col umn s . I f the in f ormation for a given field e x ceeds the col umn width, the nex t field begins on a new l i ne, s t arting i n the correct c ol umn. This op tion preven ts li ne -spl it t i ng and fa c i litates writing software to ext ract infor ma ti on f r om the repo rt output. por t_names Disp lays i n f orma ti on on thes e p orts in the current des ign. Each e lemen t in this l ist is either a col l e c tio n of ports or a pattern matching the port n ames. 65 65 report_timing Reports timi ng paths. SYNTAX string report_ timing [-from from_list I -rise_ Erom rise_ from_ list I -fall_ from fall _ from_ list] [-to to_ list I -rise_ to rise_ to_ list I -fall_to fall _ to_ list] (-exclude exclude_ list I -rise_ exclude rise_ exclude_ list I -fall_ exclude fall _ exclude_ list] [-through through_ list] [ -rise_through rise_through_ list] [-fa l l_t hrough fall _ through_ list] [-de lay_ type delay_ type ] [- nworst paths_per_endpoint] [ -max_paths count] [-path_ type format] [-true] [-true_ threshold path_ delay ] [ - justify ] [-false ] [-input_pins) [ -unique_pins ] ( - start_ end_pair] [-nets] [-slack_greater_ than slack_ limit] [-slack_lesser_than slack_limit] [ - ignore_ register_ feedback feedback_ slack_ cutoff] [ - report_ ignored_ register_ feedback) [ -group group_ name) [ - significant_ digits digits] [ -nosplit ) [ -transition_ time) [ - capacitance) [ -crosstalk_ delta ] [ -trace_ latch_ borrow] [ -derate] [ -dont_ merge_ duplicates) [- pre_ commands pre_ command_ string ] [ -post_ commands post_ command_s tring] [ -exceptions I [ -aocvrn] [ -recalcul ate ] [collectionl ] Command: report_timing [*:collection] Report timing path information for current design. option: -skip_summary -all -global -connection -antenna -double_via_rate -shield -nets * --get_option arg<l> --set_option --get_default arg<l> --set_default --list_options --load_options --license --help skip DRC and wire-length report everything report global route only check and report wiring connectivity report antenna violations double via rate shield coverage net lists get option value set option value get default value set default value list current option values load current option values list required licenses display command help 66 66 to_ list list r.lse_ to_ l.lst list fall _ to_ list list exclude_ list list rise_ exclude_ list list fall _ exclude_ hst list list through_ list list rise_ through_ list list f all_ through_ list s tringdelay_ type int paths_per_ endpoint int paths_per_ startpoint int count string format float path_ delay list group_name int digits string pre_command_ string string post_ commanq_string col l ection collection] ARGUMENTS -from from_ list Specifies that only paths from the named pins, ports, nets, cell i nstances or startpoints c lo cked by named clocks are to be reported. If from_ list is not specified, the default behavior reports the longest path to an output port i f the design has no timing constraints . Otherwise, the default behavior is to report the path v1ith t he worst slack within each path group if the design has timing constraints . -rise_from rise_from_list Same as the -from option, e xcept that the path must rise from the objects specified . If a clock ob j ect is specified, this option selects startpoints clocked by the named clock, but only t he path s launched by rising edge of the clock at the c l ock source, taking into account any log ica l inversions a l ong the clock path . -fal l _ from fall _ from_ list Same as the -from option, excep t that the path must fall from the objects specified . If a clock ob j ect is specified, this option selects startpoints clocked by the named clock, but only the paths launched by falling edge of the clock at t h e clock source, ta k ing into accoun t a n y logical inversions along t he clock path. -to to_ list Specifies t hat only paths to the named pins, ports, nets, cell instances or endpoi n ts clocked by named clocks are t o be reported . If to_l ist is not specified, the default behavior reports the longest path to an output port if the design has no timing constraints. Otherwise, the default behavior is to report the path with the worst slac k with in each path group if the design has timing constrain t s. -rise_to rise_to_list Same as t h e -to optio n , but applies only to paths rising at t he e ndpoint. If a clock object is specified, this option se l ects endpoints cloc k ed by the named clock, but on l y the path s captured by risi n g edge of the c l ock at clock source, taking i n to account any logical inversions a l ong t he clock path. - fall _to fall _to_list Same as the -to option, but applies only to paths falling at the e ndpoint. If a cloc k object is specified, this option selec ts endpoints clocked by the named clock, but only the paths launched by falling edge of the clock at the clock source, taking into account any logical inversions along t he clock path . 67 67 -exclude exclude_ list Specifies that on ly paths not including the named pi ns, ports, nets, cell instances i n t h e data paths are to be reported . Reporting will excl ude all data paths from / through /to t he named p i ns, ports, nets and cell i ns tances. If a cel l instance is specified, all pins o f t h e cell are excluded . -exclude has highe r precedence than -from / -through/ -to. - exclude does n ot work wi th true option . - exclude is exc l usive with - rise_ exclude or - fall _ exclude . exclude does not apply to borrowi ng path from - trace _ latch_ borrow option or clock path from - path full_ clock/ full _ c l o ck_ expanded optio n s . -r ise_ exclude rise_ exclude_ list Same as the -exclude option, but applies on l y to paths rising at t he named pins, ports, nets, cell instanc es. -fall_exclude fall_exclude_list Same as the - exclude option. but applies only to paths falling at t he named pins, ports, ne ts, cell instances . -through through_l ist Specifies that on ly paths through the named p ins, ports, cell instances or ne ts are to be reported . If through_ list is not specified, the default behavior reports the longest path to an output port if the design has no timing constraints . Otherwise, t he default behavior repor t s the path with the worst slack wi thin each path group if the des i gn has timing constraints. If you specify -through only on ce, Pr imeTime reports only the paths that travel throu gh on e or more o f the objects in t h e list . You can speci fy through more than once i n one command invocation . For a discussion of t he use of mu ltiple -through , riae_ through , and fall _ through options, see the DESCRIPTION section . -ri se_through through_list This opt ion is similar to the - through option, but applies only to paths with a rising transition at the specified objects . You can specify -rise_ through more than once i n a s in gle command invocation . For a discussion of multiple -through , -rise_ through , and -£all_ through options, see the DESCRIPTION section. - fall _through through_list This option is similar to the -through option, but applies only to paths with a falli ng transition at t he speci fied objects . You can specify -fa ll_ through more than onc e i n a s ingle conunand invocation. For a discussion of multiple -through , r ise_ through , and fall_ througb options, see t he DESCRIPTION section . - delay_ type delay_ type Specifies the type of path delay to be reported . Valid values are max (the de f au l t), min , mi n_ max , ma.x_ ri s e , max_ fall , min_ rise , or min_ £all . Th e "ri se" or " fa ll " in the delay_ type refers to a rising or falling transition at t he pa t h endpoint. - nwors t paths_per_endpoint Specifies t he number of pa t hs to be reported per endpoint per pa t h group. Allowed values are 1 to 2000000; the defaul t is 1 . -rnax_pa ths count Specifies the nwnber of paths to be reported per path group. Allowed va l u es are 1 to 2000000; the default value is equal to the - nwors t setting. -path_type formdt Specifies the format of the path report and how t h e timing path is displayed. Allowed values are short , which d ispl ays only start and end points " in the timing path" ; full ( the default), which displays the fu l l path ; fu1l_ clock , whic h displays full clock paths in addition to the full t i ming path; end , which genera t es a report with a co l umn format that shows one line for each path and shows only the endpoin t path total, required-time, slack and CRP (clock r e converg e nce pessimi sm value) when the variable timi ng_remove_clock_reconvergence_pessimism is set to TRUE; and summary , which displays only the path without the accompanying required-time and slack calcula tion ; full_ clock _ expanded , which displays fu ll clock paths between a primary clock and a related genera t ed clock in addition to the full _ clock timing path . 68 68 - true I n dicates that the longest (least - slack ) true paths in the design are to be reported . This option can require long r un times for certain design s that have many false paths . The variables true_ delay_prove_ true_ back track_ limit and true_ delay_prove_ false _backtra ck_ limit are used to limit the amount of backtracking during the operation of report _ timi.ng -true . The command set_ case_ analysis is used to specify a partial input vector to be considered for -true analysis . The -true option cannot be combined with -max_paths (l), -nworst ( 1), - delay_ type (path type other tha n max) , -unique , - rise/ fall_ through and -rise / fall _ from options , -true_ threshold pa th_ delay Used with t he -true op t: ion. Spec i fies a threshold p a th delay value, in library time units, used by che -true option to speed up the searching . If this option is specified, report_ timing - true returns the first path it finds g reat e r than or equal to path_ delay rather than continuing to search for a longer one . -justify Indicates to fi n d and report an input vector that sensitizes the reported paths, or to report the path as false if no input vector is found . The set_ case_ analysis command is u sed to specify a partial input vector t.o be considered for -justify analysis . - false I n dicates that onlv false oaths are to be reoorted . These are the naths where no sensitizing input vector is found . The aet_ case_ analysia command is u sed to spec ify a partial i npu t: vector to be considered f o r -false ana l ysis. -inpu t__pins Indicates t hat inpu t pins are to be shown in th e path repo rt. Th e defau lt is to show only output p ins . -unique_pins Indicates t h at o nly paths through a unique set o f pins are to be reported. Thi s option can require longer runtimes when used in combi n ation with the nworst option with a large nwnber of paths ta rgeted for reporting . -sta rt_ end_pair Indicates that paths are reported for each pair of startpoint and endpoin t based on connectivity . This option can l ead to long runtime and can lead to generating a huge number of paths depending on t he design. By default this opti on will only search for pa t hs which are violating. This default value can be c hanged by having an explicit -slack_ lessex-_ than. option . The options that d o not work wi th t his opt i on are -nworst , - max_paths , - unique_pins , - true , false , -justify , -slack_greater_ than , -ignore_ register_ feedback , report_ ignored_ registe:r_ feedback. Unl i ke with other options of report_timing, t his option causes the paths repor t ed to no longer be sorted based on slack, instead, p aths are arranged based on the e n dpoint with t h o se sharing the same endpoint appearing next to one anot h er. The maximum nwnber of paths reported is limi ted t o 2000000 . I n order to avoid the p otent i a l of retu rning duplicate p aths, this option works as t hough the va riable timing_re port_always_use_valid_s t art_ end_points was set to true . - n ets Indicates that net s are to be shown in t he pa t h report . The de f aul t is no t to show nets . -slack_greater_than slack_l~m~ t Indicates that only those p at hs with a slack greater (more positive) t han slack_limit are to be shown . This option is app lied as a filter to t he paths after they are generated. Therefore, t he number of paths generated ma y be less t han the number specified with the -nworst and -max_paths options . Thi s option can be combined wi t h -slack_ lea.ser_ than to show only those p aths inside or outside a g i ven slack range . -s l ack_lesse r _than slack_limi t Indicates that on ly t ho se pa t hs with a slack l ess (more n egat i ve) than slack_limit are to be shown. This option can be combined wi th slack_ greater_ than to select only those paths inside or outside a given slack range. 69 69 -ignore_ register_ feedback feedback_ slack_ cutoff Indicates that non-inverting timing l oops should be ignored if they start and end at the same register pin that holds a value . To be ignored, the data-tooutput arc and the output-to-data path must either both be inverting or both be non-inverting. This option applies to min delay as well as max delay reports. Paths are ignored only if t hey have a slack less than the specified feedback_slack_cutoft. This option is applied as a filter to the paths after they are generated. Therefore , the number of paths generated may be less than the number specified with the -nworst and -max_paths options . -report_ ignored_ register_ feedback Indica t es that paths are to be reported if they are ign ored when the ignore_ regieter_ feedback option is specified. - group group_name Specifies the path groups from which timing paths are selected for reporting based on other specified options for reports. -transi tion_ time Indicates that transition time (slew) is to be shown in the path report . The default is not to show trans ition time . For each driver pin or load pin t he transition time is displayed in a column preceding incremental path delay . -capacitance Indicates that total (lump) capacitance is to be shown in the path report. The default is not to show capacitance. For each driver pin the total capacitance driven by the driver is displayed in a column preceding bot h incremental path delay and transition time (with -transition_ time ). When nets is specified, the capacitance is pri n ted on the li nes with nets instead of the lines with drive r pins . - crosstalk_ delta Indicates that annotated delta delay and de l ta transi tion time is reported . The deltas are computed during crosstalk sign al integrity analysis, or they can be annotated manually using set_ annotated_ dela.y -delta_ only and set_ annotated_ transition -delta_ only . Note that the -crosstalk_ delta only reports the calculated or annotated deltas, it does not initiate crosstalk analysis. Only deltas on input pins are shown . Delta transition time is shown only with -transition_ time . The -crosstalk_ de1ta automatically sets input__pins. - derate Indica t es that derate factors are to be shown in the timing report. The default is to show no derate factors . Specifying this option automatical ly sets both -input_pins and -path_ type full_ clock_ expanded . For each output pin of a cell in the report that cells derate factor used is displayed in a column preceding the incremental path delay . For each input pin of a cell in the report its preceding nets derate factors is displayed in a column preceding the incremental path delay. In addition a summary report will fo l low the timing report indicating what portion of the slack is a result of the application of derate factors . -significant_digits digits Specifies the number of dig i ts after the decimal point to be displayed for time va l ues in the generated report . Allowed values are 0-13 ; the defaul t is determined by the report_ default_ significant_ digit s variable, whose default value is 2. Use t his option if you want to override the default. This option controls onl y the number of digits displayed, not the precision used internally for ana l ysis . For analysis, PrirneTime uses the ful l precision of the platform's fixed-precision, floating-poi n t arithmetic capability. - nosplit Most of the design infor mation is listed in fixed - widt h columns . If the information in a given fie l d exceeds the column width , the next field begins on a new line, starting in the correct column. The -nosplit option preven ts line-splitting and facilitates writing software to extract information from the repor t output. 70 70 - trace_ latch_ borrow This option controls the type of report generated for a path that starts at a transpar ent latch . If the path sta.J:"tpo i nt borrows from the previou s stage, usi n g th i s option causes the report to show the ent i re set of borrowing paths that lead up to the borrowing latch, starting with a nonborrowing path or a noninverting sequential loop. By default, the report shows only the last path in the sequence of borrowi n g stages. Each stage is reported separately, showing t he time bo r rowed and lent and the endpoin t s of the stage. The cumulative amount of borrowed time along a sequence of stages i s not included in the report . The options -input__:pins, -nets, -transition_ times, capacitance , and -significant_ digits apply to every stage in the sequence of borrowing paths, but the remaini n g options (for example, -from and -true ~ apply only to the last stage r eported. - d on t _merge_ dupl ica tes This option is available only if the u ser invokes PrimeTime with the mul ti_ scenario option . I t turns OFF a main capability in merged reporting that is ON by default . The option af fec ts the manner in which paths from multiple scenarios are merged. By defau lt, when the same path is reported f r om more than one scenario, PrimeTime reports only the single most critical instance of that path i n the merged report a n d shows its associated scenario. By u si ng th i s option, PrimeTime will not merge duplicate i nstances of t he same path into a single instance, but instead shows all critical i nstances of the path from all scenarios. Since the number of paths reported is limited by the -nworst, -max_paths and other options of this cormnand, the resulting merged report, when t hi s option is used, may not be evenly spread out across the design, but instead may be focussed on the port i on of t h e design that is critical in each scenario. -pre_ cornmands pre_ coll1l1!and_string This option is available o n ly if t h e user invokes PrimeTime with the mul ti_ scenario option . This option allows users to specify a string of commands to be executed in the slave context before the ex:ecution of t h e merged_reporting conunand. Commands must be grouped using the ";" character. The maximum size of a command is 1000 chars. -pas t _ commands pos t _ command_ s tring This option is available only if the user invokes PrimeTime with the mul ti_scenario option . This option allows users to specify a string of commands to be executed in the slave contex t af ter t h e execution of the merged_ reporting commands. Commands are grouped using the character The ma:ximUl'l'l size of a command is 1000 chars. -exceptions Pr i n ts user - entered timing exceptions, name l y false paths, multi - cyle paths, and min / max delays, that are satisfied per timing pat h being reported . The exceptions options requires one a nd only one of the follow i ng t h ree values : dominant , overridden , and all . Please note tha t the addi t i ona! analysis requir e d per path with - exceptions is non~triv i al Therefore, a report_ timing with -exceptions is expected to execute slower than t he exact same command without t.he -exceptions option. -exceptions does not work with -path_ type short/end/summary option. This option indicates that the timing paths are to be adj u sted using AOCVM information. The order in which the pa t hs are printed mat ches the o rd er in which the paths would have been printed had this option not been specified. This option automatical l y sets -derate and - path_ type full _ clock_ expanded . AOCVM derate factors are shown in the Derate column of the timing report. -recalculate Indicates t hat path recalculation shou ld be applied during the se a rch. The worst recalcu l ated paths meeti n g the path requir e ments are returned . This option can r esu lt in long runtimes due to the path searching required. This option does not work with -aocvm , -justify , -true , -slack_ greater_ than and other multi scenario options, including -pre_ cOJDlnB.nCls , -post_ cormtLa.ncis , dont_ merge_ duplicates and -attributes . collection! Specifies the collect i on of timing path s to report. Th is option is mutually ex:clusive of options which control the selection of paths to report and is only compatibl e with options which control the formatting of the report. 71 71 reset_path Command: reset_path reset path to default timing behavio r . Resets spec ifi ed paths to single- cycle b ehavior . SYNTAX Boolean reset_path [-setup) [-ho l d) [ -r ise) [ - fall) [ - from from_ list I - r ise_ f rorn rise_ from_ list I -fall_frorn fall _ from_list] [ - through through_ list ]* [ -r ise_ through rise_ through_ list]* [-fa l l _ throu gh fall _ through_ list]* [-to to_ list I -rise_ to rise_ to_ list I -fa l l _ to fall _ to_ l ist] lis t list l is t lis t list l ist list list list from_ list rise_ from_ list fall _ from_ list through_ list rise_ through_ list fall _ through_ list to_ list rise_ to_ list fall _ to_ list option: -setup -hold -rise -fal l -from ~' -to ~' -through '~ --get_option arg<l> --set_option --get_default arg<l> --set_default --list_options --load_options --license --he l p for setup only for hold only rise transition only fall transition only from list to list through list get option value set option value get default value set default value list current option values load current option values list req uired licenses display command help ARGUMENTS -setup Indicates that only setup (maximum delay) evaluation is to be reset to its default, single-cycle behavior. If neither - setup nor - hold is specified, both setup and hold checking are reset to single-cycle . -hold Indicates that o nl y hold (minimum del ay) evaluation i s to be reset to its default, single-cycle behavior. If neither - setup nor - hold is specified, both setup and hold checking are reset to single-cycle. - rise Indicates that only rising path delays are to be rese t to si ngle-cycle behavior. If neither - rise nor -fall is specified, both r i si n g and falling delays are reset to single-cycle . 72 72 - fall Indicates that only f alling path delays are to be reset to single-cycle behavior . If neither -rise nor -fall is specified, both rising and falling delays are reset to single-cycle. -from from_list Specifies a list of timing path startpoint objects . A valid timing startpoi n t is a clock, a primary input or inout port. a sequential cell, a clock pin of a sequential cell, a data pin of a level -sen sitive latch, or a pin that has inpu t delay specified. If a clock is specified, all registers and primary inpu t s related to that clock are used as path startpoints. If you specify a cell, one path sta r tpoint on t hat cell i s affected. You can use only one of -from, -rise_ from , and -fall_ from . -rise_ from rise_ from_ list Same as the -from option, except t hat t he path must r i se from the objects specified . If a clock object is specified, this option selects startpoints c l ocked by the named clock, but only the paths launched by rising edge of the clock at the c lock sou rce, taking into account any logical i nversion s along the clock path. You can use only one of -from , -ri s e_ from , and -fall_ fram . -fall_ from fall _ from_ list Same as the -from option, except t hat t he path must fall from the objects specified . !£ a clock object is spec i fied . this option selects star t points clocked by the named clock, but only the paths launched by fall ing edge of the clock at t h e clock source, tak ing into account any l ogi cal i nvers i ons along the clock path . You can use only one of -from , -rise_ from , and fall _ from . -through through_ list Specifies a list of pins, po r ts, and nets through which the paths must pass that are to be reset. Nets are interpreted to imply the leaf - level driver p i ns . If you omit -through , all timi ng paths specified using the -from and to options are affected. You can specify -through more than once in one command invocation. For a discussion of t he use of multiple -through options, see t he DESCRI PTION section . -rise_through rise_through_list This option is similar to th e - through option, but applies only to paths with a rising transi tion at the through points . You can specify -riae_through more than once in one command i nvocation. For a discussion of the use of multiple -through options, see the DESCRIPTION section. - fa ll_thr ough tall_through_list This option is similar to the -through option , but applies only to paths with a rising transition at the through points. Yo u can specify -fall_through more than once in one command invocation . For a d i scussion of the use of multiple -through options, see the DESCRIPTION section . -to to_ list Specifies a list of timing path endpoint obj ects . A valid timi n g endpoint is a clock, a primary output or inout port, a sequential cell , a data pin of a sequential ce l l , or a pin that has outpu t delay specified. If a clock is specified, all registers a nd primary outputs related to that clock are used as path endpoints. If a cell i s specified, one path endpoint on that cel l is affected. You can use only one of -to , -rise_ to , and -fall_to . -rise_t o rise_ to_ list Same as the -to option, but applies only to paths rising at the endpoint . If a clock object is specified, this option selects endpoints clocked by the named clock, but only t he paths captured by risi ng edge of the c lock at clock source, taking i n to accoun t any logical inversions a l ong the clock path . You can use only one of - to , - rise_to , and - fall _to . -fall_ to fall _ to_ lis t Same as the - t o opti on,but applies only to paths falling at the e ndpoint . If a clock object i s specified, th i s option selec t s endpoints clocked by the named clock, bu t only t h e path s launched by falling edge of the clock at the c l ock source, taking into account any logical inversions along t he clock path . You can use only one of -to , - riae_ to , and - fall_to . 73 73 set_ an notated_ delay Command: set_annotated_delay <double:delay> 1 [ ' : obj ect_l i st] Sets the ne t or ce ll delay val ue b e t we en t wo p i ns . SYNTAX string set_ annotated_ delay -cell [ -dse) [ - f all) [- mi n ] [ - max] [ - load_del ay l oad_delay_ t ype ] [ -from from_pins] [ -to to_pins J [- cond sdf_ expression] [ -i ncrement] [ -de lta_ only) [ -\-JOrst J - var i a t ion variation_ ob j ect de l ay_ val ue I - net s tring load_de l ay_ t ype l i st from_pin s l i st to_pi n s string sdf_ eApression f l oat de l ay_ va l ue option: -from '~ -to 1' -net -cell -rise -fall -min -max -cond string -load_delay string -increment - delta_only -worst --get_option arg<l> --set_option --get_default arg<l> --set_default ... --list_options --load_options --license --help from pins (require) to pins (require) for net only for cell only for rise only for fall only for min only for max onl y not supported yet not supported yet increment only delta only not apply yet get option value set option value get default value set default value list current option values load current option values list req uired licenses display command help ARGUMENTS - cel l Specifies t ha t the delay annotated is a cell de l ay . The -cell and -net are mutually exclusive; you must spec ify one, but not both. arg~~ents 74 74 -net Specifies that the delay annotated is a net delay . The -net and -cell arguments are mutually exclusive; you must specify one, but not both. -rise Indicates that the delay is for the data r ise tra nsition. specify either -rise or -fall , both va l ue s are set. If you do not -f all Indicates that the timing check is for the data fal l transition. If you do no t specify either -rise or -fell , both values are set . - min Use this option only if the design is in min_max mode (min and max operating conditions) . Specifies the minimum delay for both data rise and da ta fall transitions . - load_delay load_ delay_type Spe cifies whether load del ay is to be included as part of annotated n et delays or as part of annotated cell delays. Allowed val ues are net or cell . Load del ay is t he portion of cell delay resulting from the capacitive load of the net the cell is driving. All timing arcs of the same net and of the same cel l , must be anno tated wi t h the same load_ delay_ type. -from from_lis t Specifies a list of l ea f cell pi n s and top level ports that are the startpoints of the timi ng arcs for which delays are ann otated . -to to_list Specifies a l is t of lea f cell pi ns and /o r top level ports tha t are the endpoints of the timing arcs for \·lhich del ays are annotated . - cond sdf_ expression Use this option only if the library has a condition atta ched to t he specified delay timing arc; otherwis e , an error message is generated. Spec i f i e s the condition f o r which the annotated delay is valid . The syntax of the c ondition must match the condition specified in the library usin g the construct sdf_cond . The syntax is the same one used in the Standard Delay Forma t (SDF). -incremen t Specifi es t hat the delay is to be incremented to the c urren t delay of the speci fi ed tim ing arc. - delta_ only Specifies that the annotated delay is to be added to the net delay va lue calculated by Pr imeTime. You cannot use this option with -cell. -wor st Th is option is not yet imp l emen ted, so it is ignored. 75 75 delay_val u e Specifies the delay value between pi ns on the same cell, i n units consisten t with the t echnology library used during optimization. For example, if the technology library specifies del ay values i n nanoseconds, delay_ value must be expres sed in nanoseconds. -var i ation variation_ object Specify a variation t o annotate on al l arcs bet•.•een the from and to pins. The variation_object must be created using the c r eate_variation command. 76 76 set_annotated_transition Sets the tran s i tion time to be a nn otated on specified p i n s in t he cur r e n t design. SYNTAX i n t set_ annotated_ transition [ - r ise] [ - f all ] [ -min ] [ - max ] pi n_list f loa t sle<~_ va lue l is t pi n_l is t [ - d elta _o n l y ) s l ew_val u e Command: set_annotated_transition <double:transition> <1' : objects> option: -rise -fall -min -max -delta_only --get_option arg <l > --set_option --get_default arg <l> --set_default --list_options --load_options --license --help rise transition only fal l transition only for min mode only for max mode only delta delay only get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS -rise I ndica tes that slew_value represents the data rise transi t ion time . -fall I ndicates t hat sleiV"_value represents t h e data fall transition time . - mi n Indicate s tha t slew_value represents the minimum tra ns ition t ime. Us e this opti on only if the design i s in min-max mode (min a nd m ax operating condit i ons ) . - max Indicates tha t slew_va l ue r epresen t s t h e max imum transition time. Use this option onl y if the design i s in mi n -max mode (min and max operating conditions) - de lta_only Indicates that slew_value represen ts a delta tra nsiti on t ime to be added to t he transition time c ompu ted by delay ca l culation. slev;_value Specifi es the t ransit i on t i me of the specified pins or por ts , in un it s consisten t \.v- ith th e techno logy library u sed d u ring optimi:z at ion . For e xa mple, if the tec hn ology libr a ry spec ifies d e lay val ues in nanos econds, s l ew_va l ue must be expressed in nanos ec onds . If used wi th the - delta_ only opt ion, slew_value can be a nega t ive number. pin_list Specifi es a list of pins or ports to be annotated vti t h the trans it i on time sle~...-_ va l u e . 77 77 set_min_library Sets the li brary to be u sed for minimum delay a n alysis Command: set_min_library <string:max_library> standard SDC command The set_ min_ library command is used to relate a minimum conditions l ibrary to a maximum conditions library . SYNTAX string set_min_library [-min_version min_library] [-none] max_ library stri ngmin_l ibrary st r i ngmax_library option: -min_version string -none --get_option arg<l> --set_option --get_default arg<l> --set_default --list_options --load_options --license --help name of min library dissociate min library get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS -min~version min_library The library for min analysis. This library is not t o be used in the link_path. - none Dissociate max_library from its min l ibrary max_ l ibrary The library for max analysis . This library should be used in the link_path. 78 78 set_s i_delay_analysis Command: set_si_delay_analysis internal development utility Sets coup li ng i nforma t ion on n ets for c r os s t al k analys i s . SYNTAX i n t set_ si_ delay_analysis [-rese l e ct rn et s] [- i gno r e _ar rival i n et s ] [ - excl ude] [-v ic t ims v net s ] [- a ggre s s o rs anet s] [ -ri se ] [-fa ll ] [-min J [-ma x] l i st li s t l i st lis t option: -excl ude -victim ~ --get_option arg<l> --set_option --get_default arg<l> --set_default . . . --list_options --load_options --license -- hel p exclude nets as victims or aggresso rs respectively victime nets get option value set option value get default value set default value list current option values load current option values list req uired licenses display command help r ne ts inet s v nets anets 79 79 ARGUMENTS - reselect rnets Specif i es a list of nets to be reselected in each it eration, independen t of reselection criteria. A n et cannot be reselected i f it is fi lt ered out; if this is attempted, the XTALK -10 6 message comes up du ring the update_ timing. You cann ot use this option with the -ignore_ arrival , -exclude , -victims , or -aggressors opt ion s . If it applied on a noncoup led net, it is ignored . - ignore_arrival inets Specifies a list oE ne t s t o be an.alyzed as infini te wi ndow. You cannot u se t his opt ion wi th the -reselect , -exclude , -victims , o r -aggressors options . -exclu de Indicat e s that ne ts specified as vnets or anets) ar e to b e excluded from the crossta lk analysis as victim nets or aggressor nets, r espectively . You cannot u se th i s option with the - reselect or -ignore_arrival option. When both victims vnets and -aggressors anets are applied, al l cross capacitances between vnets and aneta are excluded, when vnets are v i ct ims and anets are aggressors . -victims vnets Specifies the l ist of nets on which -exclude information is applied as a victim. Yo u cannot use th is option with the - reselect or - ignore_ arrival opt i on. If yo u use the -victims opt i on, you must u se the -exclude opt i on. When used with t h e -aggressors option , -victims e x cludes the cross capacitances between the victim ne t s (vnets ) and the aggressor nets (anets). - aggre ssors anets The list of nets on which -exclude option information is app l ied as an a ggr essor . You cannot use th is option wi th the -reselect or -ignore_ arrival option. If you use the - aggressors opt i on, you mu st use the - exclude option. W en us ed with the -victims option, -aggressors excludes the c r oss h capacitances be t ween the victim net s (vnets) and the aggressor nets (an ets ). -rise Excludes a li st o f nets fo r victim rising . If you use the -rise option, you must use the -exclude option. -fall Exc l udes a l ist of n e t s for victim falling . I f you u se the -fal l option, y ou must use the -exclude option. -min Exc l udes a list of nets for min pa th analysis . If you u se the -min option, you mu st use the -exclude option. - max Exc l udes a lis t of nets f or max path a nalysis . If you use the -max op t ion, you must use the -exclude option. 80 80 set_ user_attribute Sets a us er attribute to a speci fi ed value on an object . SYNTAX string set_ user_ attribute [-c l ass class_name ] [-quiet ] object_spec attr_ name valu e stringclass_name l is t object_spec str ingat tr_name st ring valu e Command: set_user_attribute <*:object_or_collection> <string:attr_name> <*:value> set user defined attribute option: -class string -quiet --get_option arg<l> --set_option --get_default arg<l> --set_default ... --list_options --load_options --license --help class name of object suppress error message get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS -class class_name If object_spec is a name, this is its class. Allowable values are design, port, cell , pin, net, lib, lib_cell, or lib_pin. - quiet Suppresses al l report messages. o b ject_ spec Objects on which t o set the att ribute. Each element in the list is a collection or a pattern which is combined with the class_name to find the objects . at tr_name Shows the name of the attribute. value Shows the value of the attribute. 81 81 sort_ collection Sorts a collection based on one or more attributes, resul ting in a new, sorted collection. The sort is ascending by default. SYNTAX collection sort_collection [-des cending ] collectionl c rit e ria coll ect i o n c ollectionl list criteria Command: sort_collection <*:collection> <*:criteria> sort a collection by the attributes option: -descending --get_option arg<l> --set_option --get_default arg<l> --set_default --list_options --load_options --license --help sort in descending order get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS -descending Indicates that the collection i s to be sorted in reverse order. By defaul t , the sort proceeds in ascending order. col lection1 Specifies the collection to be sorted. criteria Specifies a l ist of one or more application or u ser-defined attributes to use as sort keys. 82 82 swap_cell Command: swap_cell <*:cell> Swap a (spare) cell to a new hierarchical name for reuse Swaps one or more cells wi t h a new design or library cell. SYNTAX int swap_cell cell_list swap_in (-dont_preserve_constraint s ] ( - file tile_name] (-format f il e_for.mat] list cell_ list stringswap_in string f i 1 e_name string tile_format option: -rename string --get_option arg<l> --set_option --get_default arg<l> --set_default --list_options --load_options --license --help new hierarchical name (require) get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS ce ll_list Specifies a list of ce ll s to be swapped out. swap_in Spe cif ies the name of the design or library cel l to be swapped in. - dont_preser v e_cons t r ai nts Indicates that swap_ cell is not to reapply the current design constrain ts after the swap . -file file_name Spe cifies the name of a fil e that contains a des i gn that is to be swapped in. - format file_tormat Spec ifi es the format for file_name. Allowed values are db (the default), Verilog, EDIF, and VHDL . 83 83 update_noise Performs stat ic crosstalk noi se analysis fo r the current design. SYNTAX int update_noise [ - full] Command: update_noise update functional noise option: --license --help list required licenses display command help ARGUMENTS - full By defa ul t, update_noise performs the n oise analysis only if the d esign is n ot up to date for noise analys i s . Usi n g -full, forces the update_ noise to perform the noise analysis rega r dless whether the design is out of date or not. 84 84 update_timing Command: update_timing Timing analysis for current design. Updates timi n g i nfo rmation on the current de sign . SYNTAX string update_timing [-full] option: -opt -full -inc -scenario string --get_option arg<l> --set_option ... --get_default arg<l> --set_default ... --list_options --load_options --license --help ignore force timing update from scratch incremental update timing specify working scenario get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS - full Indicates that t he entire timing analysis is to be performed from the beginn ing . The defaul t is to perform an incremental analysis, which updates only out-of-date i nforma ti on and runs more quickly. 85 85 write_parasitics Writes out a nnotated parasitics information for the c u rrent design. SYNTAX Boo lean write_parasitics - format file_ fmt Command: write_parasitics <file_names ... > outputs parasitic information to a user specified file option: - use_name_map -no_coupling_cap file_ n ame str i ng fi l e_fmt s tring fi le_n ame -min -max -flat -format para_format (SPEF) para_format = DSPF I SPEF --get_option arg <l> --set_option --get_default arg <l > --set_default -- list_options --load_options -- license --help use nam map in spf file e don't write of coupling capacitance wri t e out spf for min condition write out spf for max condition write spf for flatten netlist write out parasitics get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS -format file_fmt Specifies the format of the output parasitics file. Curren t ly, the only allowed values are SPEF (Standard Parasitic Exchange Format) and SBPF (Synopsys Binary Parasitics Format) . fil e_name Specifies the name of the output parasitics file. 86 86 write sdc W tes o u t a s crip t i n Synopsys Des ign Con s tra ints (SOC) ri format . SYNTAX int write_ sdc file_name [-version sdc_version ] [ -compress compr ession] [-include categories list] [-nosplit ] s t r i n gversi on stringfile_name st r i n gcompression list categories list Command: write_sdc <string:file_name> Write SOC constraints to one file. option: -port_ latency -extension - latency_offset_only --get_option arg<l> -- set_option --get_default arg<l> --set_default --list_options --load_options - -license --help AP defined latency i n input/output port all SOC constrai nts including SOC extentions Write clock offset latency only get option value set option value get default value set default value list current option values load current option values list required licenses display command help ARGUMENTS f ile_ name Specifies the name of the file to which the SOC script is to be written. -version sdc_version Specifies the version of soc to wr ite . Allowed values are 1 . 2, 1.3 , 1.4, 1 . 5 , 1.6 and latest (the default). - compress compression Specify that the script should be compressed . The only valid value for compression is gz i p. -include include_list Write specified command categories only . The only valid value for include_list is exceptions . - n osplit The - nosplit op ti on preven t s l ine -sp l i tting . This is most u seful for doing diff on previous scr i pts, or fo r pos t - processing the script. 87 87 write_sdf Wri t es a Sta n da rd Del a y Forma t Command: write_sdf <string:file_name> writes timing data to an SDF file ( SDF ) back- annot a tion f i l e . SYNTAX string write_ sdf [ -version sdf_ version] [ -no_ cell_ delays] [ -no_ timing_ checks] [ - no_ net_ delays ] [ - input_port_ nets ] [ - output_port _ nets] [ - significant_ digits digits ] [ -enabl ed_ arcs_ only ] [ -no_ internal_p ins] [ -instance inst_ name ] [ -context sdf_ context ] [ -map sdf_ map_ file_ list ] [ -annotated ] [ - leve l s level] [ - no_ edge ] [ - compress compression] [ -include include_ list] [ -exclude exclude_ list] [ -no_ negativ e _ delays] [ -no_ edge_ merging arc_ type_ list ] file_ name stringsdf_ version int digits string inst_ name stringfile_ name stringsdf_ context list sdf_map_ file_ list int level stringcompression option: -no_cell_delays not supported yet -no_timing_chec ks not supported yet -no_net_delays not supported yet -input_port_nets not supported yet -output_port_nets not supported yet -enabled_arcs_only not supported yet -no_internal_pins not supported yet -no_edge not supported yet not supported yet -annotated -no_negative_delays not supported yet -significant_digits integer(3) number of digits after decimal point not supported yet -levels string not supported yet -instance string not supported yet -context string not supported yet -version string not supported yet -compression string not supported yet -map * -no_edge_merging * not supported yet delta delay -increment --get_option arg<l> get option value --set_option ... set option value --get_default arg <l> get default value --set default ... set default value --list_options list current option values --load_options ... load current option values list required licenses --license display command help --help 88 88 ARGUMENTS -version sdf_ version Selects which SDF version to use. Supported SDF versions are 1 . 0 , 2.1, and 3 . 0. SDF 2.1 is the default. -no_ cell_ delays Indicates that no cell delays are be written in the SDF file. By default, all cell pin-to-pin de l ays are written to the SDF file. Cell delays include the load delay of the cell . Following the SDF conventions, only ce l l input pin to cell output pin delays are written. In case one cell output is unbuffered, delays are usually represented in libraries by a delay from an output pin to ano t her out put pin. Because thi s is not allowed by the SDF conven t ion, the SDF delay for an unbuffered output is specified from ce l l inputs . - no_ timing_ checks Indicates that no cell timing checks are to be written in the SDF file. By default, all cell timing checks (for example, setup, hold, recovery, and removal) are written to the SDF file. -no_ net_delays Indicates t .h at no net delays are to be written in the SDF file. By default, all net pin-to-pin delays are written to the SDF file. -input_port _ nets Indicates that the SDF file is to include delays of nets connected to input ports of the current design. By default, these delays are not written to the SDF file because the ext erna l connectivity informa t ion for ports is not ava i lable . If -instance is specified, then all net delays acr oss the instance boundary leading to a pin ins i de the instance are included instead . The pin must be be found on any of levels 1 to level of hierar chy if -levels level is specified. -output_port_ nets Indicates that the SDF file is to include delays of nets connected to output ports of the current design. By default, these delays are not written to the SDF file because the external connectivity information for ports is not available. If -instance is specified, then all net delays across the instance boundary leading from a pin inside the instance are included instead. The pin must be be found on any of levels 1 to level of hierarchy if - levels level is specified . -significant_digits digits Specifies the number of digits to the right of the decimal point that are to be written in SDF delay triplets. Allowed values are 0-13; t he default is 3. -enabled_ arcs_only Indicates that the SDF file is to contain delays only of enabled timing arcs, and is not to include delays of currently-disabled timing arcs. By default, delays of al l timing arcs in the design are written to the SDF file, whether they are disabled or enabled. -no_ interna l _pins Indicates that the SDF file is not to include delay timing arcs from or to internal pins. Timing arcs to or from internal pins are expanded into delays from and to primary input and output of the given cell . -instance inst_ name Specifies that the SDF file is to be written only for the instance named ~nst_name By default, all pin names are relative to the inst_ name. However, if boundary net de l ays are inc l uded ( -input_port_ nets or -output_port _ nets ) all pin names are relative t o the top design. Note that in general , if input_port_ nets or -output_port_ nets is specified, bou ndary nets are written leaf-to-leaf and do not start or end on hierarchical pins. If boundary nets 89 89 are required to star t or e nd o n hierar chical pi n s, r e fe r to the write_physical_ annotations comman d. -context sdt_context Specifies the con tex t fo r wr i t ing b u s n ames i n SDF . Va l id val ues are verilog, vhdl, or none (the defau lt) . I n t he verilog con t ex t , when pi n names a re U.l.~bJ.i.O.yt:u., L.Ut:: .J. Cl~l.. L.IIIIU ~Y,UQ.l.t: J...J.l.GI. I.... I\.t::L \...UO.l.O.\..Lt::..L~ \ l J J Gl..l.t:: U VL escaped . In t he vhdl context . t he last two par enthesis characters ( " {" and " ) " ) in a pin name are not escaped. In the default context none, all busdelimiting character s are e scaped wi th a backslash characte r ("always escaped . When used with the -map option, -context also affects the way names are printed in mapped SDF files. In the verilog context, names are printed in %s[%d ) f ormat; in the vhdl context , names are printed i n %s(%d) format . Note: Names are affected only if t hey are mapped using the bus(name_ to_be_ changed) funct i on in the mapping file . -map sdf_map_tile_ list Specifies a list of mapping fi l es the SDF writer is to use when writing out the SDF file . A mapping file contains a user - specif i ed format for printing SDF cell delays and constrain ts . W hen writing out SDF f or a cell, the SDF writer takes the u ser-spec i fied mapping, if present, to print out SDF for the cell . If no user-specified mapping is present for a cell, the SDF writer 'IIrites out SDF in the normal way . - annotated Indicates that the SDF is to include only timing arcs t h at have been annotated with the read_ sdf , set_ annotated_ delay , or set_ annotated_ check commands. -l ev e l s l evel Specif i es t h e number of levels of hierarchy for which the SDF i s written out . Level 1 mean s only the top design or inst_ name. Value of N mean s a l l levels of hierarchy, 1 to N. By default, all levels of hierarchy are wr itten out . Note that boundary net delays (-input_port_nets , - output_port_nets ) typ i cally have some ne t arcs from or to p i n s outs i de the inst_name . The location of such outside pins is not limited by -levels . That i s, the -levels and - instance options let you choose which boundary arcs are i ncluded, but d o not restrict \'Iher e the arcs lead outside of inst_ name . - no_ edge Indicates that the generated SDF i s not to include a ny edges (posedge or negedge) for both combinational and sequential IOPATHs. file _name Specifi e s the name of the SDF fil e to be wr i tt en . -compress compression Specifies a format to be used to compress t h e file. The on ly valid value for compression is gz i p . By defaul t , files are not compressed . -include include_list Specifies a list of constructs to include in the SDF file; these replace one o r more constructs from the set of defau lt constructs . Allowed va l ues are one or more of the follow i ng : • SETUPHOLD, wh i ch indicates that all SETUP and HOLD constructs are t o be replaced by SETUPHOLD constructs. If a pair of setup and hold arcs are found between the same pin edges, timing i n formation for the/both arc/arcs is wr itten in a single SETUPHOLD construct . If a singl e setup / hold a r c is fo und then the arc will be written in a single SETUPHOLD construct with no t i min g information for the hold/setup portion. SETUPHOLD supports negative values and can be written only for versions 2 . 1 and 3 . 0 . 90 90 • RECREM, which indicates that all RECOVERY and REMOVAL constructs are to be replaced by RECREM constructs . If a pair of recovery and removal arcs are found between the same pin edges, timing information for both arcs is written i n a s i ng l e RECREM construct.I f a s ingle recover y / r emoval arc i s f ound then the arc will be written in a single RECREM construct with no timin g information for the removal / recovery portion . RECREl1 supports n egative val u es and can be written only for version 3 . 0 . -exclude exclude_l ist Specifies a list of timing values of construct types to be either excluded f rom the SDF file in order to reduce its size, or to be replaced by another construct, as in the case of condelse . Allowed values are one or mor e of the f ollowing : • constant_ nets, which i ndicates t hat n ets are to be omi tted from the SDF f ile if they propagate a constant. • constant_delay_arcs, wh ich indicates t hat delay arcs are to be omitted from the SDF file if they propagate a constant, logical inputs . eith er from case analysis or • de f ault_cell_delay_arcs, which ind icates that all default cell delay arcs are to be omitted from the SDF file if conditional delay arcs are present . If there are no condi tional delay arcs, the default cell delay arcs are written to the SDF file . • wlm_load_delay, which indicates that net delays and cell de l ays calcu lated using WLM are to be ommitted from the SDF . • checkpins , when library compiler finds both combinationa l and sequential arcs between pins, a checkpin is created so that all arcs are expanded i n the db so that a sin gle arc pinA- pinB is replaced by the combination o f a positive unate arc pinA-pinAcheckpinl with zero delay and a n arc pinAcheckpinl-pinB with the same sense a n d values as the or i ginal arc. When this option is set the SDF is written out as if all c h eckpins were never created . • no_condelse, indicates that PrirneTime will not use the condelse statement t o write out default i opaths. By defau lt Pr i meTi me wi ll r epl ace de fa u lt iopaths with the condelse construct . Specifying this option will result the condelse statement being replaced by a default iopath . This option should be used for generating simu lator compatible SDF . -no_negative_del ays Specifies that PrimeTime will generate an sdf f i le without negative de l ays . Any delay values which are negative prior to wr i ting t he sdf file will be represented as a zero in the sdf fi l e. This option should be used when the sdf file is inte nded for simulator us e. Using this option leads to inacc r uate delay e stimation i n PrirneTime, so the user shoul d use caution with this option . -no_ edge_ rnerging Specifies a list of arc types which a r e not to be compressed in the SDF file t hrough edge mergin g. Allowed val ues a re one or more of the f ollowing 91 91 write_spice_deck Writes to a SPICE deck t he paths or arcs generated by get_ timing_ arcs. SYNTAX int write_ spice_deck [ -a l ign_ aggressors] [ -ana l ys i s _ type type] [ - c _ effective_ load ] [-full_ clock_ cone] [ -grou nd_coupling_capacitors] [ - header header_ tile_ name] [ -initial _ de l ay delay ] [ -logic_ on e _ name vlname ] [ - logic_ on e _ vo l tage vl] [ -logic_zero_name vOname ] [ -logic_ zer o_ voltage vO ] [ - marg in margin_ value ] [ -minimum_t ransition_time trans ] [ - n o_clock_tree] [ -output file_name ] [ -pre_driver ] [- sub_ c i rcui t _ fi l e spice_ sub_ circuit_ file] [ - sweep_siz e number_ o f _poi nts] [-swee p _ step num ] [ - t ime_preci sion precision] [-transien t _ si z e tran_ size ] [ -tran sien t_st e p tran_step] [ -use_prob e ] [ - u ser_ meas u res use r _ measure_ list ] [ -sample_size number_ ot_samples ] paths_arcs_list get _ t~ing_paths or Command: write_spice [*:object_list] internal development utility option: -min -max --get_option arg<l> --set_option --get_default arg<l> --set_default --list_options --load_options --license --help min condition max condition get option value set option value get default value set default value list current option values load current option values list required licenses display command help string header_ti l e_name float delay string vlname float vl s tring vOn ame f l oat vO float margin_va l ue float trans st ringpaths str i n g £ i1e_ name st r ing spice_sub_ci r cuit_tile unsign ednumber_ of_points f loat num uns i g n edprecision float tran_ size f loat t r an_step int number_ ot_ samples 92 92 ARGUMENTS -align_aggressors Apply only to a net timing arc. Indica t es that t he relative switching time of the active aggressors of the net arc compute by the cross ta l k delay or noise bump are wr itten out the corresponding PWL statement. I t is e ff ect i ve if the ne t has a coupled RC network annotated. The vict i m wi ll switch after the i n i tial_ delay and the active aggressors will swi tch relative to that . Spice uses t he ca l cul at i on engine to get the worst case a l ignment, and uses s i millar setup so that alignment stays valid . i .e. the fi lt ered aggressors are not cons i dered as ef f ective during calculation so t hey are coup lin g capacitan ce is grounded. -analysis _t ype type Specifies the type o f cross talk / noi se ana l ysis for the spice d eck g enerated . The possible crosstalk delay types are max_ rise, max_ fall, min_ rise and min_ fall . The possible noise types are above_ high, above_low , bel ow_high and below_ low . This opt i on has no e ff ect on the ti ming path. The defau lt value is max_rise for a timing arc . -c_ef f ective_load Indicates t hat t h e e f fect i v e capacitors computed by the PrimeTime during the delay calculation are connected to some driver pins i n the SPICE d eck. These driver pins are not driving a ny victim nets a n d aggressor nets. -fu l l _clock_cone Indicat es that the full fan-in cone of the clock tree is to be generated. By default, if the c l ock is propagated, a single chain of c l ock tree gates is gen erated; otherwise, a piecevlise linear waveform (PWL) is connected to the clock pin. Note t hat using this option could generate a very large spice deck, because write_ spice_ deck must determine all vol t age leve ls or waveforms of every i nput port o f these input cones. An error message is issued i f no_clock_tree is also set. -ground_ coupl i n g _ capacitors Indicat es that the aggressors of t he timing p ath or ti ming arc are not written. The associated coup ling capacitors are grounded with the factor one, - header header_file_n ame Specifies the path to the user header fi l e whose content is copied to the spice deck generated. Us er can use t his fi l e to identify t h e spice deck, to include the library file ( sl, or to copy text to spice deck for any other purposes to facilitate the spice run. -initia l_delay delay Specifies the ini ti a l The defau lt value is asynchron ous designs. difficu l t and is not delay, in l ibrary unit, added to all PWL statements . the longest clock per iod, or 1.0 l ibrary unit for No te that setting delay to zero makes generatin g a ramp recommended. -l ogic_ o n e _name vlname Specifies name of the default upper rail v o l tage source . -log ic_o ne_vol tage vl Specifies the upper r a il of the v o l t age swing of the gate inpu t pins. This is used in the PWL and power rail v dd genera t ed by the command. Th e defaul t value is ma in li brary voltage. This option wi l l be effective on l y if t he variable li brary_thresholds_use_main_lib is set to TRUE. 93 93 -logic_zero_name vOname Specifies name of the default lower rail voltage source. -logic_zero_voltage vO Specifies the lower rail of vo l tage swing of the gate input pins. This is used in the PWL and the ground voltage vss generated by the command . The default value is 0 volts. This option wi l l be effective only if the variable library_thresholds_use_main_lib is set to TRUE. -margin margin_value Specifies the value in time to be reduced from the switching time of the data pin of the lunching sequent ial cell of the timing path or timing arc. -minimum_transition_time trans Specifies the minimum transition time in nanoseconds, to be used in all generated PWL if the transition time computed by PrimeTime is smaller than trans. The default value is 0.001 ns; transition times less than 0.0001 ns are not recommended. -no_clock_tree Indicates no clock path is traced . A clock pulse statement is connected directly to the clock pin of a sequential gate. An error is issued if the full _c l ock_cone is set. If the delay type of the timing path is max (max_rise or max_fall) the pulse statement of the lunching clock is computed from the late edges of the clock arriva l windows and the maximum slew of the clock pin . The pulse statement of the capturing clock is computed from the early edge of the clock arrival windows and the minimum slew. For the min (min_rise or min_fall) delay type, the early edges are used for the lunching clock and the late edges are used for the capturing clock. -output name IE -sample_size option is not used, this option specifies the name of the SPICE deck file to be writ t en for the first timing path. SPICE deck files related to subsequent timing paths are also based on this name. This is required. If the -sample_size option is used , then this option specifies the name of the directory to be created for writing the sampled spice deck files. -pre_driver The PWL voltage sources are replced by the equivelent synopsys pre-driver. The pre-driver is a smooth wavefrorn which is more realastic than the ramp. Use this option only if the library is characterized by the standard synopsys pre-driver. -sub_circuit_file spice_sub_circuit_file Specifies the path to the file that contains all the SPICE . subckt definitions of all gates in the timing paths. By default, a subcircuit call uses the pin order in the Synopsys . lib file. Use this option if the SPICE subcircuit has a different pin order from that of the . lib file. -sweep_ size number_of_points Used in conjunction with the -align_aggressors option. Indicates the number of sweep point gener ated for each ac tive aggressors of t he ne t arc, The nwnber oE simulation will incr ease geometr ical l y with t he number o f t he active aggressor 94 94 -sweep_step num Used in conjunction with the -align_aggressors option and -sweep_size. I ndicates the ma x imum time interval between sweep points generated for each active aggressors of the ne t arc . The unit is i n nanosecond. The default is 0.1ns. -time_precision precision Specifies the number of prec1s1on digits for t ime in the PWL generated. The default va lue is 6. The range is from 1 to 20 . -trans i ent_size tran_size Specifies the total t ransient time used in the SPICE . tran sta t ement. The unit is in the largest clock period. The defau l t is 4 c l ock periods. If there is no clock in the design, 10ns is used . -transient_s tep tran_size Specifies the transient step size used in t he SPICE .tran statement. The unit is in nano second. The default is O.OOlns. -use_probe Use .probe statement t o output the node vo ltage instead of . print statement. -user_rneasures user_measure_list Use this option t o add you'r own measures instead of the ones genera te d by the spice deck automatically. The empty user_measure_list could be used as a way to remove all auto generated .measure and .print from spice deck. -sample_size Specifies the number of spice deck files t hat have to be created while performing variation- aware timing analysis. This option takes the name of the directory via - outpu t option and creates multiple spice deck fi l es that correspond to va r ious samples of t he variations defined. paths_arcs_list Specifies the collection of timing paths or timing arcs that their circui ts are written out. 95 95 collection_result_display_limit Sets the maximum number of objects that can be displayed by any command that displays a collection. TYPE set_param db collection_result_display_limit 100 Type int (cannot_save) # max number of objects in Usage # collection to display in shell int DEFAULT 100 DESCRIPTION This variable sets the maximum number of objects tha t can be displayed by any command that d isplays a collection. The default is 1 00. When a command (for example, add_ to_ collection ) is issued at the command prompt, i ts result is implici t ly queried, as though query_ objects had been called. You can limit the number of objects displayed by setting this variable to an appropriate integer. A value of -1 displays all objects; a value of 0 displays the collection handle id instead of the names of any objects in the collection . To determine the current value of this variable, use printvar collection_ result_display_ limit . 96 1 default_oc_per_lib Enables the use o f a default operating condition per individual libr ary . TYPE Boolean set_param ta default_oc_per_lib false Type : bool (persistent) # Usage Determines whether use default # operating condition per each individual library DEFAULT true DESCRIPTION Enables the use o f a default operating conditio n per i ndividua l li bra ry. When t he default_ oc_per_ lib variable is set to true (the d e fault val ue), ea ch cell that d o es not have an explicitly- set opera t ing condi tion (on the cell itself, o n any of its parent cel ls, or on the d esign ) is assigned the defau lt operating condit i on of the library to which the ce l l be l o ngs . When set to fals e all cells that do not have a ny expl icitly- set opera t i ng condition are assigned the defaul t operating condi tion of the main library (th e f i rst l ibrary in the lin k_path) . The recommen ded flow is to explicitly set o perat ing condit ions on the design or on each hierarch i cal bloc k that is powe red by th e same v oltage (a l so called t he v oltage is land) . Thi s v a riable is mainly for obtai n i ng bac~"ard compa tibility fo r the corne r case o f u s e o f default c o nditions in releas e s pr i or t o 20 0 2.09 . To determi ne the current value of this variable , u se printvar default_ oc_per_ lib . 97 2 disable_case_analysis Specif i es whether case analysis is disabled . TYPE set_param ta disable_case_analysis false Type : bool (persistent) # Disables or enables case analysis Usage # in timing analysis Boo lean DEFAULT false DESCRIPTION When false (the defau lt) , con stan t propagation is performed in the design from pin s either that are tied to a logic constant value, or for which a case_ analysis comma nd is specified . For example , a typical design has several pins set to a con stant l ogic value . By defau lt , this constan t val ue propagates t h rough the logic to which it conn ects. When t he variable disable_ case_ analysis is true , case a nalysis and constant prop agation are not performed . To determine the current val ue of this variable, u se printvar disable_case_analysis . 98 3 rc_degrade_min_slew_when_rd_less_than_rnet Enables or disables the use of s l ew degradation in min ana l ysis mode during t he RC009 condition . TYPE set_param rom rc_degrade_min_slew_when_rd_less_than_rnet false Type # bool Usage min degrade variable # Boolean DEFAULT f alse DESCRIPTION When false (the de fa u lt ) , PrimeTime does not use slew degradation through RC networks in min analysis mode during the RC-009 condit ion . Whe n true, PrirneT i me use s slew degradation during the RC - 009 condi tion . This variable is effective on ly i f the rc _ adjust_ rd_when_ less_ than_ rnet variable i s t rue . The '' RC - 009 condition " means a condition i n which PrimeTime checks th e library- derived drive resistanc e, and if it is less than the dynamic RC ne twork impedance to ground by a n amount equal to or grea ter t han the value of the rc_rd_~ess_than_rnet_threshold variable, PrirneTime adj u sts the drive r es is tance using an emp iri ca l formula to improve accuracy, and i ssue s the RC- 009 message. In case thi s improved accuracy is not s uffic ien t, PrimeTime provides extra pess i mism by not us i ng slew degrada t ion in min analysis mode ; howeve r, superfluous min delay vio la tion s could occ ur as a side effect . You can k eep s l ew degradation on i n min analysis mode after you have qualified the RC-009 methodology for your accuracy requirement s , by se t ting this v ariable to true . rc _ dagrada_min_ slew_whan_ rd_ lesa_ than_ rnet is on e of a set of fo ur variables re l evan t to t he RC-009 condition . The other t hree are as fo ll ows : • rc_ Adjust_ rd_wben_ leas_ than_ rnet enables or disables the RC - 009 condit i on; the de fau lt is true . When thi s variabl e i s set t o fal se, PrimeTime does not check the drive resis tance, a n d the values of t he ot h er r elated va riables do no t ma tt er. • rc_ filter_ rd_ less_ than_ rnet determines wh e t her the RC - 009 message is i ssued only when a network de l ay is greater than the corresponding driver tran sition t ime. The defa u lt is true . To receive RC- 009 messages e very time PrimeTime overrides the drive r esistance, set th i s variable to false . This variabl e has no effect i f rc _ adjust_ rd_when_ less_ than_ rnet is false . • rc_rd_1ess_than_rnet_threshold specifies the thre s ho ld beyon d wh i ch PrimeTime overrides the library-deri ved drive r esistance with an empirical fo r mula . The default is 0 . 45 ohms. You can override this de fault b y sett i ng the variable to another value. This var iable has no effec t if rc_ adjust _ rd_when_ less_ than_ rnet is fa l se . Note: I f rc_ degrade_ slew_ when_ rd_ less_ than_ rnet is fa lse while rc_filter_rd_less_than_rnet i s true, the RC - 009 me ssage i s not issued . For more i n formation, see the manual page of the RC-00 9 war n i n g message. To determin e the current value of this variable, type printvar rc_ degrade_ min_ slew_ when_ rd_ less_ than_ rnet or echo $rc_degrade_ min_ slew_when_ rd_ less_ than_ rnet . 99 4 si_enable_analysis Enables or disables PrimeTime-SI, which provides crossta l k analysis. TYPE set_param ta si_enable_analysis false # Type : bool (persistent) # Usage enable crosstalk noise and induced-delay analysis Boolean DEFAULT false DESCRIPTION When true, enables PrimeTime -S I, so tha t the crosstalk-aware timing calculat ion mode is used by update_ timing and report_timin g. By default, PrimeTime-Si is disabled ; this variable is set to false . If you set this variabl e to tr ue and enable PrimeTime-SI, you must also do the fo llowing: 1 . Obtain a PrirneTime-SI li cense. You cannot use PrirneTirne-S I without a license. 2. Use read_parasitics -keep_ capacitive_ c oupling to read in the coupling parasitics for your d esign. PrimeTime-SI is useful only if the design has coupling pa rasitics data. For complete information about PrirneTime-SI , see the PrimeTime Signal Integrity User Guide. To determine the current value of this variable, t ype printvar si_ enable_ analysis . 100 5 si_filter_accu m_agg r_noise_peak_ratio Specifies the threshold for the accumu lated voltage b umps i ntroduced by aggressors at a v i c t im node, di v ided b y Vee, below which aggressor nets can be f il tered out during e l ect ri cal f il tering. TYPE set_param sil filter_per_aggr_noise_peak_ratio 0.010 # Type : float (persistent) Usage ignore aggressor if noise bump # peak to supply voltage ratio is less than the value float DEFAULT 0. 03 DESCRIPTION Speci fie s the t h reshold for the accumulated voltage bumps introduced by aggressors at a vic t im n o de ; the default is 0 . 03 . This variable, along with si_ filter_per_ aggr_ noise_peak_ ratio , makes up a pair of variables used by PrimeTimeSI during the electrical filtering phase, to dete r mine whether an aggressor net can be filtered. An aggressor net, a long with its c oupli ng capacitors , is filtered when either of the following are t r u e : l . The peak voltage of the vol t age bump i nduced on the v i ctim n et divided by Ve e is l ess than the value of si_ filter_per_ aggr_ noise_peak_ ratio. 2 . The accumulated peak voltag e of voltag e bumps induced o n the victim by a ggressor to the victim net divided b y Vee is less tha n the val u e of si_ filter_ accum_ aggr_ noise_peak_ ratio . To d etermi ne t he current value of this v a r iable , type printvar si_ filter_ accum_ aggr_ noise_peak_ ratio . 101 6 si_filter_per_aggr_noise_peak_ratio Specifies the threshold for the voltage bump introduced by an aggressor at a victim node, divided by Vee, below which the aggressor net can be fi ltered out during electr ical filtering . set_param sil filter_per_aggr_noise_peak_ratio 0. 010 # Type : float (persistent) Usage : ignore aggressor if noise bump peak to supply # voltage ratio is less than the value TYPE float DEFAULT 0 . 01 DESCRIPTION Specifies the threshold for the voltage bump introduced by an aggressor at a victim node ; the default is 0.01. This variable, along with si_ filter_ accum_ aggr_ noise_peak_ ratio , makes up a pa ir of variables used by PrirneTirne-SI during the e l ectrical filtering phase, to determine whether an aggressor net can be filtered . An aggressor net, along with its coupling capacitors, is filtered when either of the following are true : 1 . The peak voltage of the voltage bump induced on the victim net divided by Vee is less than the value of si_ filter_per_ aggr_ noise_peak_ratio . 2. The accumulated peak voltage of voltage bumps induced on the victim by aggressors to the victim net divided by Vee is less than the value of si_filter_ accum_aggr_ noise_peak_ratio . Parasitic filtering criteria previously checked are controlled by the variables si_ filter_per_ aggr_xcap , ai_ filter_per_ aggr_ xcap_ to_ gcap_ ratio , and fi _ filter_ single_ to_ average_ all_xcap_ ratio_ To determine the current valu e of this variable, type printvar si_ filter_per_ aggr_ noise_peak_ ratio. 102 7 si_xtalk_exit_on_max_iteration_count Specifies a maximum number of incremental timing iterations, after which PrimeTimeSI exits the analysis loop . TYPE set_param si2 xtalk_exit_on_max_iteration_count 3 Type : uint Usage maximum number of iterations to compute crosstalk-induced delay # # integer DEFAULT 2 DESCRIPTION Specifies a maximum number of incremental timing iterations. PrimeTime-SI exits the analysis loop after performing this number of iterations . The defau lt value of this variable is 2, meaning t ha t PrimeTime-SI exits the analysis loop after performing two iterations . You can override this default by set ting the variable to another integer; the minimum allowed value is 1. This variable is one of a set of six variables that determine exit criteria; PrimeTime-SI exits the analysis loop after completing the current itera t ion if one or more of the following is true: 1 . The number of iterations performed equals the value of the xtalk_max_ iteration_ count variable. 2 . All delta delays fa ll between the values of the si_xtalk_ exit_ on_min_ delta_ delay and si_xtalk_exit_on_max_delta_delay variables . 3 . The number of ne ts selected for reevaluation in the next iteration is less than t he value of the si_xtalk_ exit_on_number_ of_ reevaluated_ nets variable . 4. The percentage of nets (r elative to the total number of nets) selected for reevaluation is less than the value of the si_ xtalk_ exit _on_ reevaluated_ nets_pct variable. 5 . The percentage of nets (relative to the number of cross-coupled ne t s) selected for reeva l uation is less than the value of the si_xtalk_exit_on_coupled_reevaluated_nets_pct variable. 6 . You manually exit the analysis loop by press i ng Control-C to send an i n terr up t signal to the PrimeTime process. The interrupt is handled as any other exit criteria, at the end of the current iteration of the crosstalk analysis. You cannot interrupt iteration immediately without exiting PrimeTime . To determine t he current value of this variable, type printvar si_ xtalk_ exit_ on_max_ iteration_ count . 103 8 si_xtalk_reselect_max_mode_slack Specifies the max mode pi n slack threshold, below which PrimeTime-SI reselects a net for subsequent delay calculations _ TYPE set_param sil xtalk_reselect_max_mode_slack 0.000 Type : float (persistent) Usage only do window-filtering on the victim nets whose set up slack is worse than the value # # float DEFAULT 0 DESCRIPTION This variabl e specifies the pin slack t h reshold in the max mode. Nets that have at leas t one pin with a max mode slack below this threshold are selected for the next iteration of PrimeTime-SI delay ca lcul ations. Max-mode pin slack is the slack of the worst max-mode (s etup) path through the pin. This variabl e is one of a set of four variables that determine net reselection criteria . The other thr ee variables are as follows : si_xtalk_ reselect_ delta_ delay si_xtalk_ reselect_ delta_delay_ ratio si_xtalk_ reselect_ min_ mode slack All four variables are ignored i f the variabl e si_ xtalk_ reselect_ critical_path is true. To determine the current value of this variable, type printvar si_ xtalk_reselect_max_mode_slack . 104 9 si_xtalk_reselect_min_mode_slack Specifies the min mode pin s l ack threshold, below which PrimeTime-SI reselects a net for subsequent delay calculations. TYPE set_param sil xtalk_reselect_min_mode_slack 0.000 Type : float (persistent) Usage only do window-filtering on the victim nets whose hold slack is worse than the value # # float DEFAULT 0 DESCRIPTION This var i able specifies the pin slack threshold in the min mode. Nets that have at l east one pin with a min mode slack be l ow this thresho l d are selected for the next iteration of PrimeTime-SI delay calculations. Min-mode pin slack is the slack of the worst min-mode (ho l d) path through the pin. This var i able is one of a set of four variables that de t ermine net resel ection criteria . The other t hree variables are as follows : si_xtalk_ r eselect_ delta_ delay si_xtalk_ reselect_ delta_ delay_ ratio si_xtalk_ reselect_max_ mode_ slack All four variables are ignored if the variable si_xtalk_ reselect_ critical_path is true. To determine the current value of this variable, type printvar s i _xtalk_resele ct_min_mode_ slack . 105 10 timing_clock_reconvergence_pessimism Select signal transition sense matching for comput ing clock reconvergence pessimism removal . TYPE string set_param ta timing_clock_reconvergence_pessimism normal Values: normal same_t ransi ti on (persistent) Usage Determines method to choose # transition sense for removing clock reconvergence pessimism # DEFAULT normal DESCRIPTION De t ermines how the value of t h e clock reconvergenc e pessimism remova l (crpr) is computed with respect to t ransition sense. Allowed values are normal (the defaul t) and same_transition. When set to normal, t he crpr value is computed even if the clock transiti ons to th e source and destination la t ches are in different directions on the c ommon clock path . I t is computed separately for rise and fall t ransitions and the va l ue with smal l e r absol u te value is used . When set to same_transition, the crpr value is computed only when the clock tran sition to the source and d estination latch es have a common path and the t ransit i on is in the same direct i on on each pin of the common path. Thus if the source and des ti nat i on la tches are tr i gge r ed by differen t e dge types, crpr has a value of zero . To determine the current value of t h is variable, t ype printvar timing_clock_reconvergence_pessimism or echo $timing_clock_reconvergence_pessimism . 106 11 timing_crpr_threshold_ps Specif i es amount of pessimism that clock reconve rgence pess imism removal (CRPR) is allowed to leave in the report . TYPE fl oat set_param ta timing_crpr_threshold_ps 20.000 Type : float [range: 1.000 100000002004087734272.000] (persistent) Usage Defines the threshold for crpr # common points grouping # DEFAULT 20 DESCRIPTION Specif i es amoun t of pess imism t ha t clock recon ve r gence pessimism r emoval ( CRPR) is allowed to leave in the repo r t . Th e un it is in picoseconds (ps) , regardless of the units of the main library. The threshold is per reported slack: se t ting the this variable to the THl val ue means tha t reported slack is no worse t han S - THl, where Sis the reported slack when timing_ crpr_ threshold_ps is set c l ose to zero ( t he minimum allowed value is 1 picosecond) . The variable h as no effect if CRPR is not active (timing_ remove_ clock_ reconvergence_pessimism is fa l se ) . The larger the value of timing_ crpr_ threshold_ps , the faster the runtime when CRPR is active . The recommended setting is about on e h alf of the stage (gate plus net) delay of a typical stage in the clock network. It provides a reasonable t rade - off between acc u r acy and runtime in mos t cases. You may want to use different set tings throughout the d esign cyc le: l arger during the design phase, smaller for sign -off . You mi ght have to experiment a n d set a d i fferent value when moving t o a diff e r ent techno logy. To determine the c urrent value of this variable, type printvar timing_crpr_threshold_ps . 107 12 timing_disable_bus_contention_check Disable checking for timing violat i ons res u l ting from transient contention on design b u sses. TYPE set_param ta timing_disable_bus_contention_check false Type : bool (persistent) Usage Disables or enables timing check for contention buses in design. # # Boolean DEFAULT false DESCRIPTION Applies only to bus designs that have multiple three-state drivers . When true, PrimeTime ignores timing setup and hold (max and min) violations that occur as a resu l t of transient bus contention. When false (the de f au l t), Pr i meTime reports these timing vio l ations . Bus conten tion occurs when more than one driver is enabled at the same time . By default , PrimeTime t reats the bus as if it is in an unknown state during this region of contention, and reports a timing violation if the setup and hold regions extend into the contention region . Note that checking is done only for timing violations, and not for logical and excessive power dissipation violat i ons, which are outside the scope of static timing analysis tools. Set this variable to true only if you are certain that transient b u s contention regions will never occur. By setting the value to true, you guarantee that on a multi - driven three - state bus, the drivers in the previous clock cycle are disabled be f ore the drivers in the current clock cycle are enabled. If you set this vari abl e to true, you must ensure that the variable timing_ disable_ bus_ contention_ check is false . The variables timing_ disable_ bus_ contention_ check and timing_ disable_ floating_ bus_ check cannot both be true at the same t ime. During the switching between the high-impedance (Z) sta t e and the high/low state, the timing behavior ( for example, intrinsic delay) of three - state buffers is captured in the Synopsys library using the timing arc types three_state_disable and three_ state_ enab le . These timing arcs connect the e nab le pin to the output pin of the three - state buffers . For details, see the Library Compiler Reference Manual. To determine the current value of this variable, type printvar timing_disable_bus_contention_checks or echo $timing_disable_ bus_contention_ checks. 108 13 timing_disable_clock_gating_checks Disable checking for setup and hold c l ock gating violations. TYPE set_param ta timing_disable_clock_gating_checks false Type : bool (persistent) Usage Disables or enables clock gating check in timing analysis # # Boolean DEFAULT fa l se DESCRIPTION When true, disables clock-gating setup and hold checks. When false (the defau lt), PrimeTime automatically determines clock-gat i ng and performs clock-gating setup and hol d checks. To determine the current value of this variable, type printvar timing_ disable_ clock_ gating_ checks o r echo $timing_ disable _clock_ gating_ checks . 109 14 timing_disable_floating_bus_check Di sable checking f or timing v i olations resul ting from transient f l oa t ing des i gn buses. TYPE set_param ta timing_disable_floating_bus_check false Type : bool (persistent) Usage Disables or enables timing check for floating buses in design. # # Boolean DEFAULT false DESCRIPTION Applies only to bus designs that have multiple three-sta t e drivers. When true, PrimeTime ignores timing setu p and hold (max and min) violations that occur as a resul t of transient floating buses. When false (the de f au l t), PrirneTime reports these timing vio l ations . F l oating bus condition occurs when no driver controls t h e b u s at a given time. By de fau l t, PrimeTime treats the bus as if it is i n an unkno<m state duri ng this region of con ten t ion, and reports a timing violation i f the setup and hol d regions extend into t he floating region. Note that checking is done only for t iming violations, and no t for l ogical vio l ations, which are o u tside the scope o f static t iming analysis tools. Se t this va l ue t o true only if you are cer t ain t hat transient floating bus regions will never oc cur . By setting the value to true, y ou guarantee that on a multi-driven three- state bus, the drivers in the previou s clock cycle are disabled before the new drivers in the current c l ock cycle are enabled . If you set this va riable to true, you mu st ensure that the variable timing_ disable_ hus_ contention_ check is false . The variables timing_ disable_ floating_ bus_ check a nd timing_ disable_ bus_ contention_ check cannot both be true at the same time. During the switching between the high-impedance (Z) stat e and the high/ l ow state, the timing behavior (for example, intrinsic delay) of three- state buffers is captured in the Synopsys library u sing the timi ng arc types three_state_disable and three_sta t e _ enabl e . These timi ng arcs connect the enable p i n to the output p i n of the three - state buffers. For details, s ee the Library Compiler Reference Manua l. To determine the current value of this var iab le, type printvar timing_disable_floating_bus_check or echo $timing_disable_floating_bus_check. 110 15 timing_disable_recovery_removal_checks Disable or enable the timing analys i s of r ecovery a n d remova l checks in the design. TYPE set_param ta timing_disable_recovery_removal_checks false Type : bool (persistent) Usage Disables or enables recovery and removal checks in timing analysis. # # Boolean DEFAULT false DESCRIPTION When true, disables recovery and removal timing analysis. When false (the default), PrimeTime performs recovery and remova l checks; for descriptions of these checks, see the man page for the report_ constraint command . To determi ne the current value o f this variable, type printvar timing_ disab l e _ recovery_ removal_ checks or echo $timing_ disable_ recovery_ remova1_ checks . 111 16 timing_enable_preset_clear_arcs Controls whether PrimeTime enabl es or disables preset and clear arcs . TYPE Boolean set_param ta timing_enable_preset_clear_arcs false Type : bool (persistent) Usage Enables or disables preset and clear timing arcs checks in timing analysis. # # DEFAULT false DESCRIPTION When true , permanently enables asynchronous preset and clear timing arcs, so that you use t h em t o ana lyze timing paths . When false (the default), PrimeT ime disables a ll preset and clear timing arcs . Note that if there are any minimum pu lse width checks deEined on asynchronous preset and clear pin s they are performed regardless of the value of thi s variable. Also no te the the -t r ue and the -just i fy option s of report_timing canno t be used unless this variable is at its default va l ue . To determine the current value o f this variable, type printvar timing_enable_preset_clear_ arcs. 112 17 ti ming_i nput_port_ defau It_ clock Determines whether a de fa ult clock is assumed at i nput ports for which t he user has not defined a c lock with set_ input_ delay. TYPE Boolean set_param ta timing_input_port_default_cl ock true Type : bool (persistent) Usage Determines whether analyze timing for paths which start from input port with default clock # # DEFAULT true DESCRIPTION This Boolean variable affects t he behavi or of PrimeTime when t he user sets an input delay without a clock on an input port . When true (the default value), the input delay on the port is set with respect to one imaginary clock so that the inputs are constrained. This also causes the clocks along the paths driven by these input ports to become related . When false, no such imaginary clock is assumed. To determine the current value of this variabl e, type printvar timing_ input_port_ default_ clock . 113 18 timing_remove_clock_reconvergence_pessimism Enables or disables clock reconve rgence pessimism removal TYPE Boolean DEFAULT set_param ta timing_remove_clock_reconvergence_pessimism false Type bool(persistent) # Usage Enables or disables clock # reconvergence pessimism in timing analysis false DESCRIPTION When this variable is set to true, PrimeTirne removes clock reconvergence pessimism from slack calculation and minimum pulse width checks. This variable replaces the following discontinued options: -report_ clock_ reconvergence_pessimism -remove_ c1ock_ reconvergence_pessimism of the report _timing ~ report _ constraint , and get _ timing_paths commands. Clock reconvergence pessimism (C RP ) is a difference in delay along the common part of the launching and capturing clock paths . The most common causes of CRP are reconvergent paths in the clock network, and different min and max delay of cells i n the clock network. CRP is independently calculated for rise and fall clock paths . You can use the variable t~1ng_clock_reconvergence_pessim1sm to control CRP calculation with respect to transition sense. In the case of the capturing device being a levelsensitive latch two CRP values will be caculated: • crp_ open, which is the CRP corresponding to the openin g edge of the latch • crp_close. which is the CRP corresponding to the closing edge of the latch The required time at the latch will be increased by the value of crp_open and hence reduce the amount of borrowing {if any> at the latch. Meanwhile, the maximum time borrow a l lowed at the latch is affected by shifting t h e closing edge by crp_ close. For more details , see the PrimeTime User Guide : Fundamentals. For a more detailed description of a CRP calulation, use the report_ crpr command. CRP is calculated differently for minimum pulse-width checks. It is given as the min imum of (maximum rise arrival t i me - minimum rise arrival time) and (maximum fall arrival ti me - minimum fall arrival t i me) at the pin where the check is being made. If the variable ai _ enab1e ana1yaia is set to true delays in the c lock network may also inc l ude delta delays resulting from crosstalk interaction. Such delays are dynamic in nature, that i s, they may vary from one clock cycle to the next, causing d i fferent delay var i ations ( either speed -up or s l ow- down) on the same n etwork , but during different clock cycles. Starting with U- 2003 . 03 release PrimeTime only considers SI delta delays as part of the CRP calculation if the type of timing check deployed derives its data from the s ame clock cycle. In transparent- latch based designs, i t is recommended that the variable timing_ ear1y_ 1aunch_ at_ borrowing_ 1atches should be set to false when CRP remova l (CRPR) is enabled. In this case, CRPR will apply e ven to paths whose startpoints are bo rrowing, leading to better pessimism reduction overall . Any effective change i n the value of the timing_remove_c1ock_reconvergence_pess~ism variable causes ful l update_ timing . You cannot perform one report _ timing o peration that considers CRP and one that does not without full update_timing in between. 114 19 For bac kwa rd compatibility, the discontinued options will app ear for the first few releases after they are obsole ted . However, if the design i s not u p to date at t he time they are executed , they wil l only set timing _remove_c l ock_reconve r gen ce_pess i mism to true If the design is up to date, then t he comma n d with t he discon tinue d option fails . Since the discontin u ed command options on ly set timing_rernove_clock_reconvergence_pessimism to true , the report_ c1ock_ reconvergence_pessimism option b ehavior is not backward compa t ible . I t causes slack to be removed pr io r t o s elect i ng the worst path In other words, i t behaves the same as the d iscon ti nu ed -remov e _ c1ock_ recon ver gance_pessimism option of the report _ timing , reyport_ constraint , and get_ timing_paths commands . As soon as possible , update your scripts t o set the timing_remove_c~ock_reconvergence_pessimism v ar iable to true instead of usi ng the disco n tinued options . L imita Lions : CRPR does not sup port pa t hs Lhat f a n ouL directly from c l ock so ur ce pins to the data pins of sequentia l devices. To enable support for such paths the variable t~ing_crpr_remove _c1ock_ to_data_crp must b e set to TRUE . CRPR does not s upport ideal clock l a tency set o n pins or ports. CRPR does not s u pport propaga ted clocks set on pin s or por ts as opposed to clock o bj ects . To turn CRP removal on : pt_ shel l set tim1ng_ remove_ c1ock_ reconvergence_pessimism TRUE TRUE pt_ shell report _ timing 115 20 si_xtalk_exit_on_coupled_reevaluated_nets_pct Specifies a maximum percen tage of nets se l ected for reevaluation relative to the total number of coupled ne ts, bel ow which PrimeTime- SI exits the analysis loop. set_param sil xtalk_exit_on_coupled_reevaluated_nets_pct 0.000 Type : float Usage # # TYPE floa c DEFAULT 0 DESCRIPTION Specifies a maximum per centage of nets se l ec t ed for reeval uati on relative to the total number of coupled nets. PrimeTiroe-S I exits the analysis loop after completing the current iteration , when the percentage of nets selected for reeval uation in the next iteration is less than this number. The number o f coupled nets is based on detailed parasitics as read in by read_parasitics . That is, crossta lk fi l terin g does not impact the count of coupled nets for the purpose of this variab le . The number of coupled n ets counts all individu al net segments in the same way t hat [get_nets hierarchical * ] counts a ll n ets in the design. This variable is one of a set of six variables that determ i ne exit criteria; PrimeTime-SI exi ts the analysis loop after completing the current i tera tion if one or more of t he follow ing is true : 1. The number of iterat i ons performed equals the valu e of the xtalk_max_ iteration_ count variabl e . 2. All delta del ays fall between the values of the si_xtalk_ exit_ on_min_delta_ delay and si_ xtalk_ exit_ on_max_ delta_ delay variables. 3. The n u mber of nets sel ected for reevaluation in the next iteration is less than the value of the si_ xtalk_ exit _ on_ number_ of_ reevaluated_ nets variable. the ana lysis loop. 4. The percentage of ne t s (relat i ve to the total number of nets) selected for r eeval uation is less than the value of the si_xtalk_exit_on_ reevaluated_nets_pct variable . 5. The percentage of nets (relative to the number of cross - coupled nets) selected fo r reevaluation is less than the value of the si_xtalk_ exit_ on_ coupled_ reevaluated_nets_pct variable. 6. You manua ll y exit the analysis loop by pressing Control -C to send an interrupt signal to the Pr i meTime process. The interru pt is handled as any other e xit criteria, at the end of the current i t eration of the crosstalk a n alysis . You cannot interrupt iteration immediately without exiting PrimeTime . To determine the current value of this vari able, type printvar 116 21 si_xtalk_exit_on_number_of_reevaluated_nets Specifies a maximum number of nets sel ected f or reevaluation, below which PrirneTimeSI exits the analysis loop . TYPE set_param sil xtalk_exit_on_coupled_reevaluated_nets_pct 0.000 Type # float Usage # integer DEFAULT 0 DESCRIPTION Specif i es a maximum number of ne ts selected for reevalua t ion . PrimeTime-S I exits the analysis loop after completing the current iteration , when the number of net s selected for reeval uat ion in the the next iteration is less than this number. This variable is one of a set of s i x variables that determine exit criteria ; PrimeTime - S I exits the analysis l oop after c ompleting the c u rrent iteration if one or more of the following is true : 1 . The number of i t erations performed equals the value of the xtalk_max_ iteration_ count variabl e. 2. All del t a delays fall between the values o f the si_ xtalk_ exit_ on_min_ delta_ delay and si_xtalk_ exit_ on_max_ delta_delay variables. 3 . The number of nets selected for reevaluation in the next iteration is less than the val ue of the si_ xtalk_ exit_on_ number_o f _ reeval uated_ nets variable. 4. The percentage of nets (re l ative to the total n umber of nets) selected for reevalua tion is le ss t han the va l ue of the si_xtalk_ e xit_ on_ reevaluated_ nets_pct variable. 5 . The percentage of nets (rel ative to the number of cross - coup l ed nets) selected for reevaluation is less than t he value of the si_xtalk_ exit_ on_ coupled_ r e evaluated_ nats_pct variab le . 6 . You manually e x it the ana l ysis l oop by pressing Control-C t o send an in t errupt s i gna l to the PrirneTime process . The interrupt is handled as any other exit criteria , at the end of the current iteration of t he crosstalk analys i s . You cannot i n terrupt iteration immediately withou t exiting Pr i meTime . To determine the current value o f this variable , t ype printvar si_ xtalk_ exit on_ number_ of reevaluat ed_ nets . 117 22 si_xtalk_exit_on_reevaluated_nets_pct Specifies a maximum percentage of nets selected for reevaluation relative to the total number o f nets, below which PrimeTime - SI exits the ana l ysis loop . set_param sil xtalk_exit_on_reevaluated_nets_pct 0.000 Type : float Usage # # TYPE float DEFAULT 0 DESCRIPTION Specifies a maximum percentage o f nets reselected for evaluation, relative t o t he total number of nets. Pri meTime-SI exits the analysis loop after completing the current iterat i on, when the percentage of nets selected for reevaluation in the next iteration is less than this number. This variable is one o f a set of six variabl es that determine e xit criteria; PrimeTirne-SI exi t s the analysis l oop after completing the current iteration if one or more of t he fo l l owing is true: 1 . The number of iterations performed equa l s the value of the xtalk_ max_ iteration_ count variabl e. 2 . All delta delays fall between the val ues of the si_ xtalk_ exit _ on_min_ delta_ delay and si_ xtalk_ exit_ on_max_ delta_ delay var i ables. 3 . The number of nets selected for reevaluation in the n ext iterat ion is less than the value of the si_ xtalk_ exit_ on_ number_ of_ reevaluated_ nets variable. 4. The percentage of nets (re lat i ve to the total number of nets ) selected for reevaluation is l ess than the value of the si_ xtalk_ exit_ on_ reevaluated_ nets_pct variable. 5. The percentage of nets (relative to the number of cross-coupled nets) selected for r eevaluation is less than the value of the si_ xtalk_ exit_ on_ coupled_ reevaluated_ nets_pct variable . 6 . You manually exit the analysis loop by press i ng Control-C to send an interrupt signal to the PrimeTime process. The interrup t is handled as any other exit criteria, at the end of the current iteration of the crosstalk analysis. You cannot interrupt iteration immediately without exiting PrimeTime. To determine the current value o f this variable, type printvar si_xtalk_exit_on_reevaluated_nets_pct . 118 23 Attributes of the cell Object Class area f l oat The area of a cell. If the cell is hierarchical, this includes net area. base_ name str i ng The leaf name of a cell. For example, the base_name of cell U1/U2/U3 is U3. I base_name string dont_ touch boo l e an Identifies cells to be excluded from optimization in Design Compiler. Cells with the dont_ touch attribute set to true are not modified or replaced during compilation in Design Compiler. Setting dont_touch on a hierarchical cell sets the attribute on all cells below it. Set with set_dont_ touch, and used by cha r ac te>r i z <;> _ cont ext and create_ timing_ context. You can set and unset the dont_to uch attribute. I dont_touch bool full_name stri n g The complete name of a cell. For example, the full name cell U3 within cell U2 within cell U1 is U1 /U2/U3. The full_ name attribute is not affected by I full_name string area (read-only) double (read-only) (read-only) curren t_ ins tance. is _ sequen tial boo l ean A cell is sequential if it is not combinational. number_ of__pins in teger Number of pins on the cell. The number of pins can be different before and after linking. For example, if some pins were unconnected in a Verilog instance, after linking to the lower-level design , additional pins can be created on the cell. is_sequential : bool (read-only) number_of_pins : uint (read-only) 119 1 Attributes of the cell Object Class is_clock_gating_check cell boolean A ref_name cell string A is_clock_gating_check ref_name : string bool (read-only) (read-only) 120 2 Attributes of the clock Object Class full _name string The name of the clock. This is set with create_ clock. It is either the name given with the - name option, or the name of the first object to which the clock is attached. Once set, this attribute is read only. period float The clock period (or cycle time) is the shortest time during which the clock waveform repeats. For a simple waveform with one rising and one falling edge, the period is the difference between successive rising edges. Set with full_name period string (read-only) float create_clock -period. propagated_clock boolean Specifies that clock latency (insertion delay) be determined by propagating delays from the clock source to destination register clock pins . If this attribute is not present, ideal clocking is assumed. Set with propagated_clock bool set_propagated_clock . sources string This is a collection of the source pins or ports of the clock. The sources are defined with the create_ clock command. sources collection (read-only) 121 3 Attributes of the clock Object Class is_generated clock boolean A is_generated : bool 122 4 Attributes of the lib_ cell Object Type area float A floating-point value representing the area of a library cell . area base_name string The name of a library cell. For example , the base_name of library cell tech1fAN2 is AN2. base_name string dont_ touch boolean Identifies library cells to be excluded from optimization. Values are true (the default) or false . Library cells with the dont_touch attribute set to true are not modified or replaced during compi le. Set in Design Compiler with set_dont_touch. dont_touch bool f u ll _ name string The fully qualified name of a library ceiL This is the name of the library followed by the library cell name. For example, the full_name of library cell AN2 in library tech1 is tech1/AN2 . full_name string is_ sequential boolean This attribute is true if the library cell is sequential. i s_sequenti a l (read-only) double bool (read-only) (read-only) (read-only) 123 5 Attributes of the lib_ cell Object Type i s leve l_s h i f ter Bool ean This attribute is true if the library cell is a level shifter cell. is_level_shifter bool (read-only) 124 6 .--0 0 ....Q CIJ VI ::J I +J c 0 ""0 Q) ~ <( F- c 0 .--- ..... .Q) .Q' 0 ::::::: Q) 0 .c' :::: Q) s n::l CIJ 0 0 ....Q .--.--CIJ u I ....Q •r- .--- Ito. 0 (I) ~ ::3 :C ...., ..... i:: q: CIJ VI ::J I +J c 0 ""0 125 Attributes of the lib_pin Object Type b a se_ name stri n g The leaf name of the library cell pin . For example, the base_name of tech1/AN2/Z is Z. fu ll_name st ring The fully qualified name of a library cell pin . This is the name of the library followed by the library cell name followed by a pin name. For example, the full_name of pin Z on library cell AN2 in library tech1 is tech1 /AN2/Z. p i n _ capac itance fl oat A floating-point value representing the capacitance of a library pin. base_name string (read-only) full_name string (read-only) pi n_capaci tance float (read-only) 126 8 Attributes of the lib_pin Object Type i s _pad Boo l ean This attribute is tru e if the library pin is a pad. See the Library Compiler documentation. i s_pad : boo 1 (read-on 1y) 127 9 Attributes of the lib_pin Object Type pin_direction lib_pin string A pin_direction : in out inout internal unknown (read-only) 128 10 Attributes of the net Object Type ba se_name s tring The leaf name of a net. For exa mple, the base name of net i1 /i1 z1 is i1 z1 . You cannot set this attribute. dont_ touch boolean Identifies nets to be excluded from optimization in Design Compiler. Values are true (the default) or false. Nets with th e dont_touch attribute set to tru e are not modified or repl aced during compil e with Design Compil er. Set with I base_name string I dont_touch bool I full_name string (read-only) set_dont_touch. full _ name stri n g The complete nam e of a net. For example, the full_name of net i1z1 within cell i1 is i1 /i1z1 . The f u ll_ n a me attribute is not affected by current instance. The f u ll_name attribute is read-only. total_capacitance_max float A floating-point value representing the sum of all pin capacitances and the wire capacitance of a net for maximum conditions. You cannot set this attribute. I total_capacitance_max float (read-only,application) t otal_ capacitance_ mi n float A floating-point value representing the sum of all pin capacitances and the wire capacitance of a net for minimum conditions . You cannot set this attri bute. I total_capacitance_min float (read-only,application) (read-only) 129 11 Attributes of the pin Object Class actual _ fall _ transition_ max float A tloating-point value representing the largest falling transition time for a pin. actual_fall_transition_max float (read-only,application) act ual_fall_transition_min float A floating-point value actual _fall _transition_min float (read-only,application) actual_rise_transition_max float (read-only,application) actual_rise_transition_min float (read-only,application) representing the smallest falling transition time for a pin. actua l _rise_ trans ition_max float A floating-point value representing the largest rising transition time for a pin. actual _ rise_ transition_min float A floating-point value representing the smallest rising transition lime for a pin . clocks string The collection of clock objects which propagate through this pin. It is undefined if no clocks are present. d i r ec t ion string The direction of a pin. Value can be in, out, in out, or internal . The pi n _ direction attribute is a synonym for direction. Directions can change as a result of linking a design , as references are resolved . full_name string The complete name of a pin to the top of the hierarchy. For example, the full name of pin Z on cell U2 within cell U1 is U1 / U2/Z. The setting of the current instance has no effect on the full name of a pin. See also the lib_pin_name attribute. is three_s tate boolean This attribute is true if a pin is a three-state driver. clocks collection (read-only,application) direction in out inout internal unknown full_name string is_three_state bool (read-only) (read-only) (read-only) 130 12 Attributes of the pin Object Class is_clock_gating_clock pin boolean A is_clock_gating_clock : bool (read-only) is_clock_gating_enable pin boolean A is_clock_gating_enable : bool (read-only) 131 13 Attributes of the port Object Class direction s t ring full_name st r i ng The direction of a port. Value can be in, out, inout, or internal. The port_direction attribute is a synonym for direction. You cannot set this attribute. The name of a port. You cannot set this attribute. direction in out inout internal unknown full _name string (read-only) 132 14

Disclaimer: Justia Dockets & Filings provides public litigation records from the federal appellate and district courts. These filings and docket sheets should not be considered findings of fact or liability, nor do they necessarily reflect the view of Justia.


Why Is My Information Online?